28.06.2013 Views

Pro Tools - Digidesign Support Archives

Pro Tools - Digidesign Support Archives

Pro Tools - Digidesign Support Archives

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

602<br />

SMPTE Trigger mientras se sincronizaba con<br />

una fuente no vinculada<br />

(sólo en sistemas TDM)<br />

La activación de SMPTE se puede usar con el<br />

dispositivo opcional SYNC I/O o equivalente<br />

para vincular la velocidad de grabación y<br />

reproducción de <strong>Pro</strong> <strong>Tools</strong> al conectarlo como<br />

dispositivo secundario de LTC. Esto posibilita<br />

una sincronización permanente y duradera<br />

mediante vinculación a cualquier variante de<br />

código de tiempo entrante. SYNC I/O y USD<br />

también admiten referencia de posición VITC y<br />

Bi-phase/Tach.<br />

Opciones de sincronización de<br />

SYNC I/O<br />

Si añade un dispositivo SYNC I/O a su sistema<br />

<strong>Pro</strong> <strong>Tools</strong> TDM, puede usar <strong>Pro</strong> <strong>Tools</strong> como<br />

dispositivo principal de su configuración<br />

sincronizada. Todos los demás dispositivos<br />

estarán configurados como secundarios de<br />

<strong>Pro</strong> <strong>Tools</strong>.<br />

Puede usar <strong>Pro</strong> <strong>Tools</strong> junto con SYNC I/O para<br />

generar mensajes LTC, MIDI Time Code o<br />

comandos MMC. Al leer código de tiempo<br />

entrante SMPTE del conector de entrada SMPTE,<br />

SYNC I/O regenera el código de tiempo a través<br />

del conector de salida LTC o MTC. Esta señal<br />

puede transmitirse a otros dispositivos<br />

secundarios.<br />

MachineControl<br />

SYNC I/O también es compatible con el<br />

software MachineControl de <strong>Digidesign</strong>;<br />

proporciona numerosas funciones<br />

especializadas para tareas de sincronización de<br />

posproducción entre las que cabe citar<br />

activación remota de pistas, emulación VTR o<br />

Guía de referencia de <strong>Pro</strong> <strong>Tools</strong><br />

código de tiempo serie. (Para más información,<br />

véase la Guía de MachineControl o póngase en<br />

contacto con su proveedor de <strong>Digidesign</strong>.)<br />

Para instrucciones sobre cómo conectar un<br />

periférico externo al sistema <strong>Pro</strong> <strong>Tools</strong>,<br />

consulte la Guía de introducción. Si usa<br />

SYNC I/O, consulte la documentación de<br />

SYNC I/O.<br />

Ext. Clock Output<br />

<strong>Pro</strong> <strong>Tools</strong> se puede usar como dispositivo<br />

principal en la configuración de sincronización<br />

si todos los demás dispositivos se configuran<br />

como salida de reloj de palabras de <strong>Pro</strong> <strong>Tools</strong>.<br />

En los sistemas <strong>Pro</strong> <strong>Tools</strong>|HD, la salida de reloj se<br />

puede configurar para reloj de palabras de 1x o<br />

256x Slave Clock para las entradas y salidas<br />

heredadas de <strong>Digidesign</strong>. Para más información<br />

sobre las entradas y salidas heredadas de salida<br />

de reloj, véase la Introducción a HD.<br />

Para configurar Ext. Clock Output:<br />

1 Elija Setups > Hardware Setup en <strong>Pro</strong> <strong>Tools</strong>.<br />

2 Haga clic en el menú emergente Clock Output<br />

y seleccione una señal disponible.<br />

3 Cuando haya terminado, haga clic en OK para<br />

cerrar el cuadro de diálogo Hardware Setup.<br />

Si Legacy Port está activado (en<br />

contraposición a Expansion Port), Ext.<br />

Clock Output cambia automáticamente a<br />

Slave256x. Para restablecer Ext. Clock<br />

Output, el botón Port Settings debe volver a<br />

configurarse como Expansion y la E/S<br />

heredada debe configurarse como No<br />

Interface. (Para configurar una E/S<br />

heredada como No Interface, seleccione su<br />

nombre en la lista Peripherals y, después,<br />

seleccione No Interface en el menú<br />

emergente de interfaces.)

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!