05.07.2013 Aufrufe

VHDL Kompakt - CES

VHDL Kompakt - CES

VHDL Kompakt - CES

MEHR ANZEIGEN
WENIGER ANZEIGEN

Sie wollen auch ein ePaper? Erhöhen Sie die Reichweite Ihrer Titel.

YUMPU macht aus Druck-PDFs automatisch weboptimierte ePaper, die Google liebt.

A. Syntaxbeschreibung<br />

〈null statement〉<br />

[ 〈label〉:] null;<br />

entity 〈entityId〉 is<br />

...<br />

begin<br />

...<br />

end entity 〈entityId〉;<br />

package 〈packageId〉 is<br />

...<br />

end package 〈packageId〉;<br />

configuration 〈configId〉 of 〈entityId〉 is<br />

...<br />

end configuration 〈configId〉;<br />

〈bLabel〉: block (〈sigList〉) is<br />

...<br />

begin<br />

...<br />

end block 〈bLabel〉;<br />

98<br />

architecture 〈archId〉 of 〈entityId〉 is<br />

...<br />

begin<br />

...<br />

end architecture 〈archId〉;<br />

package body 〈packageId〉 is<br />

...<br />

end package body 〈packageId〉;<br />

procedure 〈procId〉 (〈paraList〉) is<br />

...<br />

begin<br />

〈null statement〉<br />

end procedure 〈procId〉;<br />

〈pLabel〉: process (〈sigList〉) is<br />

...<br />

begin<br />

〈null statement〉<br />

end process 〈pLabel〉;

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!