05.07.2013 Aufrufe

VHDL Kompakt - CES

VHDL Kompakt - CES

VHDL Kompakt - CES

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

A. Syntaxbeschreibung<br />

A.9 textio<br />

Benutzung<br />

use std.textio.all;<br />

Deklarationen<br />

type line is access string; Typen<br />

type text is file of string;<br />

file input : text open read_mode is "STD_INPUT"; Dateien<br />

file output : text open write_mode is "STD_OUTPUT";<br />

read (〈lineVar〉, 〈vhdlObj〉 [,〈status〉 ]);<br />

readline (〈fileObj〉, 〈lineVar〉);<br />

write (〈lineVar〉, 〈vhdlObj〉 [, right|left, 〈width〉 ]); |<br />

write (〈lineVar〉, 〈realObj〉 [, right|left, 〈width〉, 〈digits〉 ]); |<br />

write (〈lineVar〉, 〈timeObj〉 [, right|left, 〈width〉, 〈unit〉 ]);<br />

writeline (〈fileObj〉, 〈lineVar〉);<br />

endfile (〈fileObj〉) : boolean<br />

A.10 std logic textio<br />

Benutzung<br />

library ieee;<br />

use std.textio.all;<br />

use ieee.std_logic_1164.all;<br />

use ieee.std_logic_textio.all;<br />

Deklarationen<br />

read (〈lineVar〉, 〈vhdlObj〉 [,〈status〉 ]); bin.<br />

hread (〈lineVar〉, 〈vhdlObj〉 [,〈status〉 ]); hex.<br />

oread (〈lineVar〉, 〈vhdlObj〉 [,〈status〉 ]); oct.<br />

write (〈lineVar〉, 〈vhdlObj〉 [, right|left, 〈width〉 ]);<br />

hwrite (〈lineVar〉, 〈vhdlObj〉 [, right|left, 〈width〉 ]);<br />

owrite (〈lineVar〉, 〈vhdlObj〉 [, right|left, 〈width〉 ]);<br />

112

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!