05.07.2013 Aufrufe

VHDL Kompakt - CES

VHDL Kompakt - CES

VHDL Kompakt - CES

MEHR ANZEIGEN
WENIGER ANZEIGEN

Sie wollen auch ein ePaper? Erhöhen Sie die Reichweite Ihrer Titel.

YUMPU macht aus Druck-PDFs automatisch weboptimierte ePaper, die Google liebt.

Beispiel<br />

entity TEST_RSFF is<br />

end entity TEST_RSFF;<br />

architecture TB of TEST_RSFF is<br />

component RSFF is<br />

port (SET, RESET : in bit;<br />

Q, QBAR : buffer bit);<br />

end component RSFF;<br />

signal S, R, Q, QB : bit;<br />

begin<br />

TST_I: RSFF port map (S, R, Q, QBAR);<br />

STI_P: process<br />

begin<br />

S

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!