05.07.2013 Aufrufe

VHDL Kompakt - CES

VHDL Kompakt - CES

VHDL Kompakt - CES

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

A. Syntaxbeschreibung<br />

〈component instantiation〉<br />

〈label〉: 〈componentId〉 [ 〈generic map〉 ] [ 〈port map〉 ];<br />

〈label〉: [component] 〈componentId〉 |<br />

〈label〉: entity [ 〈libraryId〉.] 〈entityId〉 [(〈archId〉)] |<br />

〈label〉: configuration [ 〈libraryId〉.] 〈configId〉<br />

[ 〈generic map〉 ] [ 〈port map〉 ] ;<br />

〈generic map〉 ::=<br />

generic map ([ 〈formal generic〉 =>] 〈expresssion〉 |open{ ;<br />

[ 〈formal generic〉 =>] 〈expresssion〉 |open} )<br />

〈port map〉 ::=<br />

port map ([ 〈formal port〉 =>] 〈signalId〉 |open{ ;<br />

[ 〈formal port〉 =>] 〈signalId〉 |open{ ;<br />

entity 〈entityId〉 is<br />

...<br />

begin<br />

...<br />

end entity 〈entityId〉;<br />

package 〈packageId〉 is<br />

...<br />

end package 〈packageId〉;<br />

configuration 〈configId〉 of 〈entityId〉 is<br />

...<br />

end configuration 〈configId〉;<br />

〈bLabel〉: block (〈sigList〉) is<br />

...<br />

begin<br />

〈component instantiation〉<br />

end block 〈bLabel〉;<br />

106<br />

architecture 〈archId〉 of 〈entityId〉 is<br />

...<br />

begin<br />

〈component instantiation〉<br />

end architecture 〈archId〉;<br />

package body 〈packageId〉 is<br />

...<br />

end package body 〈packageId〉;<br />

procedure 〈procId〉 (〈paraList〉) is<br />

...<br />

begin<br />

...<br />

end procedure 〈procId〉;<br />

〈pLabel〉: process (〈sigList〉) is<br />

...<br />

begin<br />

...<br />

end process 〈pLabel〉;<br />

neu in <strong>VHDL</strong>’93

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!