10.07.2015 Aufrufe

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

In dem folgenden Beispiel wird ein Bitvektor in eine Integer Zahl umgerechnet.Beispiel: architecture ......function VEC2INT (S: bit vector range 1 to 8)return integer isvariable RES: integer := 0;beginfor I in 1 to 8 loopRES := RES * 2;if S(I) = '1' then RES := RES + 1;end if;end loop;return RES;end VEC2INT;...begin...process ......XVAL := VEC2INT (XBUS);...end process;...end ...Deklarationlokale VariableAufrufProcedure : hat mehrere Parameter, die folgende Modi haben konnen:in :nur Eingangswertout :nur Ausgangswert, d.h. die Benutzung ist nur auf die linke Seite von Zuweisungenbeschrankt.inout :Ein-/Ausgangswert, kann innerhalb der Prozedur universell benutzt werden.Fur die Parameter sind auer Variablen auch Signale (nach expliziter Deklaration)zulassig. Im Code werden Prozeduren wie Anweisungen behandelt.Syntax:procedure proc name (parameter list) is[variable declaration][constant declaration][type declaration][use clause]beginsequential statementsend [proc name];30

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!