10.07.2015 Aufrufe

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

MEHR ANZEIGEN
WENIGER ANZEIGEN

Sie wollen auch ein ePaper? Erhöhen Sie die Reichweite Ihrer Titel.

YUMPU macht aus Druck-PDFs automatisch weboptimierte ePaper, die Google liebt.

Beispiel: configuration ONE of XR2 is ONE wahlt FAST ausfor FASTend for;end ONE;configuration TWO of XR2 isfor SLOWend for;end TWO;TWO wahlt SLOW ausArchitecture | ComponentIn diesem Fall wird die Auswahl der Architekturen bei hierarchischen Beschreibungenfestgelegt. Ist eine Schaltung strukturell () Hierarchie) beschrieben undsind fur die instanziierten Komponenten mehrere Architekturen vorhanden, sokann bei der configuration festgelegt werden, welche der moglichen Architekturenfur eine Instanz benutzt wird.Syntax:configuration conguration name of entity name isfor architecture namefor labeljothersjall: comp entity name useentity [lib name.]comp entity name(comp architecture name); jconfiguration [lib name.]conguration name;end for;...end for;...end conguration name;In dem Beispiel sei MCOMP eine Schaltung die mehrere Instanzen von XR2 undINV enthalt.Beispiel:configuration TRY1 of MCOMP isfor STRUCTfor U0: XR2 use entity WORK.XR2(FAST);end for;| oder |for U0: XR2 use configuration WORK.ONE;end for;for others: XR2 use configuration WORK.TWO;end for;for all: INV use configuration WORK.INV(FAST);end for;end for;end TRY1;50

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!