10.07.2015 Aufrufe

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

MEHR ANZEIGEN
WENIGER ANZEIGEN

Sie wollen auch ein ePaper? Erhöhen Sie die Reichweite Ihrer Titel.

YUMPU macht aus Druck-PDFs automatisch weboptimierte ePaper, die Google liebt.

Wurde keine explizite Konguration vorgenommen, so wird die jeweils (zeitlich) zuletztanalysierte architecture benutzt, die null Konguration.Beispiel: entity XR2 is Deklaration des entityport (X, Y: in bit; Z: out bit);end XR2;architecture SLOW of XR2 isbeginZ

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!