10.07.2015 Aufrufe

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

MEHR ANZEIGEN
WENIGER ANZEIGEN

Sie wollen auch ein ePaper? Erhöhen Sie die Reichweite Ihrer Titel.

YUMPU macht aus Druck-PDFs automatisch weboptimierte ePaper, die Google liebt.

Aktivierung der Zuweisung :Obwohl Signalzuweisungen innerhalb eines Prozesses ineiner Umgebung stehen, die in der Regel sequentiell abgearbeitet wird, werden solcheZuweisungen nicht in der Reihenfolge der sequentiellen Anweisungen wirksam.Signalzuweisungen werden erst bei Erreichen des nachsten wait in dem Proze oder,bei Verwendung einer sensitivity-list, am Ende des Prozesses wirksam. Daraus ergebensich folgende Konsequenzen:1. Signale konnen im Proze nicht wie Variable als Zwischenspeicher fur Wertebenutzt werden.2. Es sollte in dem Proze nur eine einzige Zuweisung pro Signal vorkommen |nur ein Treiber.Wegen der speziellen Eigenschaften der Signalzuweisung kommt es (gerade bei <strong>VHDL</strong>Anfangern) oft zu Fehlern, deshalb noch einige Beispiele:Beispiel: X

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!