10.07.2015 Aufrufe

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

MEHR ANZEIGEN
WENIGER ANZEIGEN

Sie wollen auch ein ePaper? Erhöhen Sie die Reichweite Ihrer Titel.

YUMPU macht aus Druck-PDFs automatisch weboptimierte ePaper, die Google liebt.

Generische Werte werden bei der Deklaration des entity vor den Ein-/Ausgangen(port) angegeben und konnen in der entsprechenden architecture wie Konstanten benutztwerden. 11 Die Ubergabe, bzw. die Festlegung konkreter Werte kann an folgendenStellen stattngen:1. default-Wert bei der entity-Deklaration2. default-Wert bei der component-Deklaration in der architecture oder in einempackage3. aktueller Wert bei der Instanziierung in der architecture4. aktueller Wert bei einer Konguration der architectureDie Abbildung generischer Werte erfolgt jeweils uber den Namen, als: declaration name =>actual value.Syntax:Deklaration in entity und componentgeneric ( generic name : type name [:= default value]f;generic name : type name [:= default value]g );Instanziierungcomponent label: component namegeneric map (value mapping)port map (signal mapping);Gerade bei Zellbibliotheken sind die generischen Werte, zusammen mit der Deklarationder Komponenten, in seperaten packages festgelegt. Weiterhin ist es moglich, generischeWerte in Kongurationen zu spezizieren. So konnen verschiedene Kongurationen benutztwerden, um unterschiedliche Geschwindigkeitsklassen (min-, typ-, max-delay) auszuwahlen.Beispiel:entity XR2 isgeneric (M: time := 1.0 ns);port (X, Y: in bit; Z: out bit);end XR2;...architecture GENERAL of XR2 isbeginZ

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!