10.07.2015 Aufrufe

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

VHDL Kurzbeschreibung

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

parameter list:[variable]signalname list [injoutjinout] type name [:= expression]; jname list [injoutjinout] type name;Die Prozedur des Beispiels dient, wie die Funktion oben, der Umrechnung eines Bitvektorsin eine Integer-Zahl wobei zusatzlich ein Flag gesetzt wird.Beispiel: architecture ......procedure VEC2INTDeklaration( S: in bit vector;ZFLAG: out boolean;Q: inout integer ) is wegen ZuweisungbeginQ := 0;ZFLAG := true;for I in 1 to 8 loopQ := Q * 2;Q auf rechter Seiteif S(I) = '1' thenQ := Q + 1;) Modus ist: inoutZFLAG := false;end if;end loop;end VEC2INT;begin...process ......VEC2INT (XBUS, XFLG, XVAL);...end process;...end ...AufrufOverloadingWie in einigen Programmiersprachen gibt es auch in <strong>VHDL</strong> Overloading Mechanismen furFunktionen und Prozeduren. Overloading bezeichnet dabei, da Unterprogramme mehrfachdeniert sind, aber unterschiedliche Typen, beziehungsweise unterschiedlich viele Parameterhaben. Bei Aufruf dieser Unterprogramme wird dann, entsprechend Anzahl und Typder Argumente, die entsprechende Funktion/Prozedur ausgewahlt. Dadurch konnen, trotzstarker Typfestlegung, Operatoren und Funktionen allgemein benutzt werden.Argument-Typ : zwischen den Unterprogrammen wird durch den Typ der Argumenteunterschieden.31

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!