30.08.2014 Views

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

--Appendix B FPGA Test setup<br />

library IEEE;<br />

use IEEE.STD_LOGIC_1164.ALL;<br />

use IEEE.STD_LOGIC_ARITH.ALL;<br />

use IEEE.STD_LOGIC_UNSIGNED.ALL;<br />

Library XilinxCoreLib;<br />

Library UNISIM;<br />

use UNISIM.vcomponents.all;<br />

---- Uncomment the following library declaration if instantiating<br />

---- any Xilinx primitives in this code.<br />

--library UNISIM;<br />

--use UNISIM.VComponents.all;<br />

entity top is<br />

Port (USER_CLK: in STD_LOGIC;<br />

DVI_RESET_B: in STD_LOGIC;<br />

--xps_tft_0_TFT_DVI_CLK_N_pin:<br />

STD_LOGIC;<br />

DVI_D0: out STD_LOGIC;<br />

DVI_D1: out STD_LOGIC;<br />

DVI_D2: out STD_LOGIC;<br />

DVI_D3: out STD_LOGIC;<br />

DVI_D4: out STD_LOGIC;<br />

DVI_D5: out STD_LOGIC;<br />

DVI_D6: out STD_LOGIC;<br />

DVI_D7: out STD_LOGIC;<br />

DVI_D8: out STD_LOGIC;<br />

DVI_D9: out STD_LOGIC;<br />

DVI_D10: out STD_LOGIC;<br />

DVI_D11: out STD_LOGIC;<br />

DVI_H: out STD_LOGIC;<br />

DVI_V: out STD_LOGIC;<br />

-- DVI_XCLK_N: out STD_LOGIC;<br />

DVI_DE: out STD_LOGIC;<br />

DVI_XCLK_P: out STD_LOGIC<br />

-- VREF: IN std_logic<br />

);<br />

end top;<br />

out<br />

architecture Structural of top is<br />

--COMPONENT mem_of_pix_1024_f1x is<br />

----generic (width: integer; addr_width: integer);<br />

-- Port (clka: in STD_LOGIC;<br />

-- addra: in STD_LOGIC_VECTOR (9 downto 0);<br />

-- douta: out STD_LOGIC_VECTOR (23 downto 0));<br />

COMPONENT mem_of_aer_stream IS<br />

port (<br />

clka: IN std_logic;<br />

142 of 200

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!