30.08.2014 Views

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

FPGA Receiver (structural) chip<br />

signal stream_red_pulse_count: std_logic_vector (9 downto 0);<br />

signal stream_green_pulse_count: std_logic_vector (9 downto 0);<br />

signal stream_blue_pulse_count: std_logic_vector (9 downto 0);<br />

--For a two by two image the address bits allowed are two bits<br />

signal red_incoming_address: std_logic_vector (51 downto 0);<br />

signal green_incoming_address: std_logic_vector (51 downto 0);<br />

signal blue_incoming_address: std_logic_vector (51 downto 0);<br />

signal red_feed: std_logic_vector (59 downto 0);<br />

signal green_feed: std_logic_vector (59 downto 0);<br />

signal blue_feed: std_logic_vector (59 downto 0);<br />

signal rc_red_feed: std_logic_vector (61 downto 0);<br />

signal rc_green_feed: std_logic_vector (61 downto 0);<br />

signal rc_blue_feed: std_logic_vector (61 downto 0);<br />

signal red_with_rc_address: std_logic_vector (61 downto 0);<br />

signal green_with_rc_address: std_logic_vector (61 downto 0);<br />

signal blue_with_rc_address: std_logic_vector (61 downto 0);<br />

signal red_between_address: std_logic_vector (9 downto 0);<br />

signal green_between_address: std_logic_vector (9 downto 0);<br />

signal blue_between_address: std_logic_vector (9 downto 0);<br />

--Signals to implant<br />

signal red_data_preform: std_logic_vector (49 downto 0);<br />

signal green_data_preform: std_logic_vector (49 downto 0);<br />

signal blue_data_preform: std_logic_vector (49 downto 0);<br />

--58*3 equals 174 {three in an rgb packet}<br />

signal composite_addressed_stream: std_logic_vector (173 downto<br />

0):="0000000000000000000000000000000000000000000000000000000000000000<br />

00000000000000000000000000000000000000000000000000000000000000000000<br />

000000000000000000000000000000000000000000";<br />

--THE ADDRESSES FOLLOWING MUST REACH 4 ELECTRODES!<br />

signal red_1_4_addressed: std_logic_vector (57 downto 0);<br />

signal green_2_5_addressed: std_logic_vector (57 downto 0);<br />

signal blue_3_6_addressed: std_logic_vector (57 downto 0);<br />

signal red_data: std_logic_vector (49 downto 0);<br />

signal green_data: std_logic_vector (49 downto 0);<br />

signal blue_data: std_logic_vector (49 downto 0);<br />

signal red_pulse_stream: std_logic_vector (199 downto 0);<br />

signal green_pulse_stream: std_logic_vector (199 downto 0);<br />

signal blue_pulse_stream: std_logic_vector (199 downto 0);<br />

signal pic_size: std_logic_vector (5 downto 0):= "000000";--Six bits;<br />

signal qpulse_size: std_logic_vector (15 downto 0):= "0000000000000000";--<br />

Sixteen bits<br />

signal red_x_out: std_logic_vector (999 downto 0);<br />

signal green_y_out: std_logic_vector (999 downto 0);<br />

signal blue_z_out: std_logic_vector (999 downto 0);<br />

signal number_out: std_logic_vector (0 downto 0):="0";<br />

signal outer_red_pulse_stream: std_logic_vector (999 downto 0);<br />

signal outer_green_pulse_stream: std_logic_vector (999 downto 0);<br />

signal outer_blue_pulse_stream: std_logic_vector (999 downto 0);<br />

signal send_num_out: std_logic_vector (0 downto 0);<br />

signal send_num_unsigned_out: std_logic_vector (0 downto 0);<br />

signal zero_out: std_logic_vector (0 downto 0);<br />

163 of 200

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!