30.08.2014 Views

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

FPGA Receiver (structural) chip<br />

blue_3: out STD_LOGIC_VECTOR (49 downto 0);--feeds to "blue_wire_3"<br />

blue_4: out STD_LOGIC_VECTOR (49 downto 0)--feeds to "blue_wire_4"<br />

);<br />

END COMPONENT; --produce_bit_wire_outputs<br />

COMPONENT AER_test_stream_to_pulse_count is<br />

--feeds "file_support_intense_pulses.vhd" & hence "intense_pulses.dat<br />

generic (bit_width: integer: = 11); --Initially six, then altered to eleven.<br />

Port ( ts_clock: in STD_LOGIC;<br />

AER_RGB_incoming_stream:<br />

in<br />

STD_LOGIC_VECTOR (155 downto 0);<br />

stream_red_pulse_count: out std_logic_vector (0<br />

downto 0);<br />

stream_green_pulse_count: out std_logic_vector (0<br />

downto 0);<br />

stream_blue_pulse_count: out std_logic_vector (0<br />

downto 0);<br />

out_red_counter: out integer;<br />

out_green_counter: out integer;<br />

out_blue_pulse_counter: out integer<br />

);<br />

END COMPONENT;<br />

COMPONENT mapping_to_outgoing_addresses is<br />

Port (implant_clk: in STD_LOGIC; --pixel clock<br />

red_in: in STD_LOGIC_VECTOR (55 downto 0);<br />

green_in: in STD_LOGIC_VECTOR (55 downto 0);<br />

blue_in: in STD_LOGIC_VECTOR (55 downto 0);<br />

red_outgoing_address_stream: in STD_LOGIC_VECTOR (5<br />

downto 0);<br />

green_outgoing_address_stream: in STD_LOGIC_VECTOR<br />

(5 downto 0);<br />

blue_outgoing_address_stream: in STD_LOGIC_VECTOR<br />

(5 downto 0);<br />

red_implant: out STD_LOGIC_VECTOR (55 downto 0);<br />

green_implant: out STD_LOGIC_VECTOR (55 downto 0);<br />

blue_implant: out STD_LOGIC_VECTOR (55 downto 0));<br />

END COMPONENT;<br />

COMPONENT generate_outgoing_address_streams is<br />

--feeds "file_support_AER_outgoing_addresses.vhd" & hence<br />

"outgoing_addresses.dat"<br />

Port ( out_clock: in STD_LOGIC; --spike_clock<br />

red_out_address: out std_logic_vector (5 downto 0);<br />

green_out_address: out std_logic_vector (5 downto 0);<br />

blue_out_address: out std_logic_vector (5 downto 0)<br />

);<br />

END COMPONENT;<br />

COMPONENT file_support_AER_outgoing_addresses is<br />

port (clk: in std_logic; --Sim_clock<br />

160 of 200

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!