30.08.2014 Views

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

FPGA Receiver (structural) chip<br />

IO_L16N_15: out STD_LOGIC_VECTOR (3 downto 0);--“U28” (7) [36]<br />

IO_L17P_15: out STD_LOGIC_VECTOR (3 downto 0);--“R26” (8) [37]<br />

IO_L17N_15: out STD_LOGIC_VECTOR (3 downto 0);--“R27” (9) [38]<br />

IO_L18P_15: out STD_LOGIC_VECTOR (3 downto 0);--“U26” (10) [39]<br />

IO_L18N_15: out STD_LOGIC_VECTOR (3 downto 0);--“T26” (11) [40]<br />

IO_L19P_15: out STD_LOGIC_VECTOR (3 downto 0);--“U25” (12) [41]<br />

IO_L19N_15: out STD_LOGIC_VECTOR (3 downto 0);--“T25” (13) [42]<br />

IO_L13P_17: out STD_LOGIC_VECTOR (3 downto 0);--“AD30” (1) [43]<br />

IO_L13N_17: out STD_LOGIC_VECTOR (3 downto 0);--"AC29}"(2) [44]<br />

IO_L14P_17: out STD_LOGIC_VECTOR (3 downto 0);--"AF31"(3) [45]<br />

IO_L15P_17: out STD_LOGIC_VECTOR (3 downto 0);--"AE29"(4) [46]<br />

IO_L15N_17: out STD_LOGIC_VECTOR (3 downto 0);--"AD29"(5) [47]<br />

IO_L16P_17: out STD_LOGIC_VECTOR (3 downto 0)--"AJ31"(6) [48]<br />

--IO_L13P_18: out STD_LOGIC_VECTOR (999 downto 0);--"Y11" {1}<br />

--IO_L13P_19: out STD_LOGIC_VECTOR (999 downto 0);--"K28" [215]<br />

--IO_L13N_19: out STD_LOGIC_VECTOR (999 downto 0);--"L28" {3}<br />

--IO_L14P_19: out STD_LOGIC_VECTOR (999 downto 0);--"K27" [215]<br />

--IO_L15P_19: out STD_LOGIC_VECTOR (999 downto 0);--"M28" [215]<br />

--IO_L17P_20: out STD_LOGIC_VECTOR (999 downto 0);--"E12" {6}<br />

--IO_L17N_20: out STD_LOGIC_VECTOR (999 downto 0);--"E13" {7}<br />

--IO_L18P_20: out STD_LOGIC_VECTOR (999 downto 0);--"N10" {8}<br />

--IO_L18N_20: out STD_LOGIC_VECTOR (999 downto 0); --"N9" {9}<br />

--IO_L19P_20: out STD_LOGIC_VECTOR (999 downto 0);--"F13" {10}<br />

--IO_L19N_20: out STD_LOGIC_VECTOR (999 downto 0);--"G13" {11}<br />

--IO_L13P_21: out STD_LOGIC_VECTOR (999 downto 0);--"AF24" {12}<br />

--IO_L13N_21: out STD_LOGIC_VECTOR (999 downto 0);--"AG25" {13}<br />

--IO_L14P_21: out STD_LOGIC_VECTOR (999 downto 0);--"AG27" {14}<br />

--IO_L15P_21: out STD_LOGIC_VECTOR (999 downto 0);--"AF25" {15}<br />

--IO_L15N_21: out STD_LOGIC_VECTOR (999 downto 0);--"AF26" {16}<br />

--IO_L16P_21: out STD_LOGIC_VECTOR (999 downto 0);--"AE27" {17}<br />

--IO_L16N_21: out STD_LOGIC_VECTOR (999 downto 0);--"AE26" {18}<br />

--IO_L17P_21: out STD_LOGIC_VECTOR (999 downto 0);--"AC25" {19}<br />

--IO_L17N_21: out STD_LOGIC_VECTOR (999 downto 0);--"AC24" {20}<br />

--IO_L18P_21: out STD_LOGIC_VECTOR (999 downto 0);--"AD26" {21}<br />

);<br />

end top_wrapper;<br />

--1024 x 3 = 3072 i.e. three planes describing a 64 pixelcount picture<br />

--As a pixel consists of three planes, 150 potential pulses are required per pixel<br />

--That is 50 potential pulses per plane per pixel<br />

architecture Behavioral of top_wrapper is<br />

--type current integer range -70 uA to +70 uA<br />

-- units<br />

-- uA;<br />

-- mA = 1000uA;<br />

-- end units;<br />

--COMPONENT mem_of_256_short_aer_stream is<br />

----generic (width: integer; addr_width: integer);<br />

-- Port (clka: in STD_LOGIC;<br />

-- addra: in STD_LOGIC_VECTOR (7 downto 0);<br />

-- douta: out STD_LOGIC_VECTOR (25 downto 0));<br />

155 of 200

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!