30.08.2014 Views

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

stream_blue_pulse_count: out std_logic_vector (7<br />

downto 0) --Originally nine<br />

);<br />

END COMPONENT;<br />

COMPONENT convert_hue_pulses_to_intensity is<br />

Port ( ts_clock: in STD_LOGIC;<br />

stream_red_pc: in std_logic_vector (7 downto 0); --<br />

Originally nine<br />

stream_green_pc: in std_logic_vector (7 downto 0); --<br />

Originally nine<br />

stream_blue_pc: in std_logic_vector (7 downto 0); --<br />

Originally nine<br />

large_red_counter: out std_logic_vector (7 downto 0);<br />

large_green_counter: out std_logic_vector (7 downto<br />

0);<br />

large_blue_counter: out std_logic_vector (7 downto 0)<br />

);<br />

END COMPONENT;<br />

COMPONENT dvi_clk_from_vga_clock is<br />

Port (user_clock: in STD_LOGIC;<br />

data_clock: out STD_LOGIC);<br />

END COMPONENT;<br />

COMPONENT dvimux is<br />

Port (dvi_clk: IN STD_LOGIC;<br />

r_out: in STD_LOGIC_VECTOR (7 downto 0);<br />

g_out: in STD_LOGIC_VECTOR (7 downto 0);<br />

b_out: in STD_LOGIC_VECTOR (7 downto 0);<br />

--TFT_DVI_DATA: out STD_LOGIC_VECTOR (11<br />

downto 0)<br />

TFT_DVI_DATA_0: out std_logic;<br />

TFT_DVI_DATA_1: out std_logic;<br />

TFT_DVI_DATA_2: out std_logic;<br />

TFT_DVI_DATA_3: out std_logic;<br />

TFT_DVI_DATA_4: out std_logic;<br />

TFT_DVI_DATA_5: out std_logic;<br />

TFT_DVI_DATA_6: out std_logic;<br />

TFT_DVI_DATA_7: out std_logic;<br />

TFT_DVI_DATA_8: out std_logic;<br />

TFT_DVI_DATA_9: out std_logic;<br />

TFT_DVI_DATA_10: out std_logic;<br />

TFT_DVI_DATA_11: out std_logic<br />

);<br />

END COMPONENT;<br />

signal clock_25MHz: std_logic;<br />

signal red_out: std_logic_vector (7 downto 0);<br />

signal green_out: std_logic_vector (7 downto 0);<br />

signal blue_out: std_logic_vector (7 downto 0);<br />

signal red: std_logic_vector (7 downto 0);<br />

signal green: std_logic_vector (7 downto 0);<br />

144 of 200

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!