30.08.2014 Views

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

FPGA Receiver (structural) chip<br />

blue_8: out STD_LOGIC_VECTOR (3 downto 0);--feeds to "blue_wire_8"<br />

blue_9: out STD_LOGIC_VECTOR (3 downto 0);--feeds to "blue_wire_9"<br />

blue_10: out STD_LOGIC_VECTOR (3 downto 0);--feeds to "blue_wire_10"<br />

blue_11: out STD_LOGIC_VECTOR (3 downto 0);--feeds to "blue_wire_11"<br />

blue_12: out STD_LOGIC_VECTOR (3 downto 0);--feeds to "blue_wire_12"<br />

blue_13: out STD_LOGIC_VECTOR (3 downto 0);--feeds to "blue_wire_13"<br />

blue_14: out STD_LOGIC_VECTOR (3 downto 0);--feeds to "blue_wire_14"<br />

blue_15: out STD_LOGIC_VECTOR (3 downto 0);--feeds to "blue_wire_15"<br />

blue_16: out STD_LOGIC_VECTOR (3 downto 0)--feeds to "blue_wire_16"<br />

);<br />

END COMPONENT; --produce_wire_outputs<br />

COMPONENT produce_fast_wire_outputs is<br />

Port (wire_clock: in STD_LOGIC;<br />

red: in STD_LOGIC_VECTOR (199 downto 0);--from<br />

"outer_red_pulse_stream"<br />

green: in STD_LOGIC_VECTOR (199 downto 0);--from<br />

"outer_green_pulse_stream"<br />

blue: in STD_LOGIC_VECTOR (199 downto 0);--from<br />

"outer_blue_pulse_stream"<br />

red_1: out STD_LOGIC_VECTOR (199 downto 0);--feeds to "red_wire_1"<br />

red_2: out STD_LOGIC_VECTOR (199 downto 0);--feeds to "red_wire_2"<br />

red_3: out STD_LOGIC_VECTOR (199 downto 0);--feeds to "red_wire_3"<br />

red_4: out STD_LOGIC_VECTOR (199 downto 0);--feeds to "red_wire_4"<br />

green_1: out STD_LOGIC_VECTOR (199 downto 0);--feeds to "green_wire_1"<br />

green_2: out STD_LOGIC_VECTOR (199 downto 0);--feeds to "green_wire_2"<br />

green_3: out STD_LOGIC_VECTOR (199 downto 0);--feeds to "green_wire_3"<br />

green_4: out STD_LOGIC_VECTOR (199 downto 0);--feeds to "green_wire_4"<br />

blue_1: out STD_LOGIC_VECTOR (199 downto 0);--feeds to "blue_wire_1"<br />

blue_2: out STD_LOGIC_VECTOR (199 downto 0);--feeds to "blue_wire_2"<br />

blue_3: out STD_LOGIC_VECTOR (199 downto 0);--feeds to "blue_wire_3"<br />

blue_4: out STD_LOGIC_VECTOR (199 downto 0)--feeds to "blue_wire_4"<br />

);<br />

END COMPONENT; --produce_fast_wire_outputs<br />

COMPONENT produce_bit_wire_outputs is<br />

Port (wire_clock: in STD_LOGIC;<br />

red: in STD_LOGIC_VECTOR (49 downto 0);--from<br />

"outer_red_pulse_stream"<br />

green: in STD_LOGIC_VECTOR (49 downto 0);--from<br />

"outer_green_pulse_stream"<br />

blue: in STD_LOGIC_VECTOR (49 downto 0);--from<br />

"outer_blue_pulse_stream"<br />

red_1: out STD_LOGIC_VECTOR (49 downto 0);--feeds to "red_wire_1"<br />

red_2: out STD_LOGIC_VECTOR (49 downto 0);--feeds to "red_wire_2"<br />

red_3: out STD_LOGIC_VECTOR (49 downto 0);--feeds to "red_wire_3"<br />

red_4: out STD_LOGIC_VECTOR (49 downto 0);--feeds to "red_wire_4"<br />

green_1: out STD_LOGIC_VECTOR (49 downto 0);--feeds to "green_wire_1"<br />

green_2: out STD_LOGIC_VECTOR (49 downto 0);--feeds to "green_wire_2"<br />

green_3: out STD_LOGIC_VECTOR (49 downto 0);--feeds to "green_wire_3"<br />

green_4: out STD_LOGIC_VECTOR (49 downto 0);--feeds to "green_wire_4"<br />

blue_1: out STD_LOGIC_VECTOR (49 downto 0);--feeds to "blue_wire_1"<br />

blue_2: out STD_LOGIC_VECTOR (49 downto 0);--feeds to "blue_wire_2"<br />

159 of 200

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!