30.08.2014 Views

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

use IEEE.STD_LOGIC_UNSIGNED.ALL;<br />

---- Uncomment the following library declaration if instantiating<br />

---- any Xilinx primitives in this code.<br />

--library UNISIM;<br />

--use UNISIM.VComponents.all;<br />

entity dvimux is<br />

Port (dvi_clk: IN STD_LOGIC;<br />

r_out: in STD_LOGIC_VECTOR (7 downto 0);<br />

g_out: in STD_LOGIC_VECTOR (7 downto 0);<br />

b_out: in STD_LOGIC_VECTOR (7 downto 0);<br />

--TFT_DVI_DATA: out STD_LOGIC_VECTOR (11<br />

downto 0)<br />

TFT_DVI_DATA_0: out std_logic;<br />

TFT_DVI_DATA_1: out std_logic;<br />

TFT_DVI_DATA_2: out std_logic;<br />

TFT_DVI_DATA_3: out std_logic;<br />

TFT_DVI_DATA_4: out std_logic;<br />

TFT_DVI_DATA_5: out std_logic;<br />

TFT_DVI_DATA_6: out std_logic;<br />

TFT_DVI_DATA_7: out std_logic;<br />

TFT_DVI_DATA_8: out std_logic;<br />

TFT_DVI_DATA_9: out std_logic;<br />

TFT_DVI_DATA_10: out std_logic;<br />

TFT_DVI_DATA_11: out std_logic<br />

);<br />

end dvimux;<br />

architecture Behavioral of dvimux is<br />

begin<br />

produce_12_bit_word_twice: process (dvi_clk, r_out, g_out, b_out)<br />

variable TFT_DVI_DATA: std_logic_vector (11 downto 0);<br />

begin<br />

if dvi_clk = '1' then<br />

--if (dvi_clk'event) AND (dvi_clk = '1') then<br />

--if rising_edge (dvi_clk) then<br />

--TFT_DVI_DATA:= r_out (7 downto 0) & g_out (7 downto 4);<br />

TFT_DVI_DATA:= r_out (7 downto 6) & g_out (7 downto 6) & b_out (7 downto 6)<br />

& r_out (5 downto 4) & g_out (5 downto 4) & b_out (5 downto 4);<br />

--elsif (dvi_clk'event) AND (dvi_clk = '0') then<br />

elsif dvi_clk = '0' then<br />

--elsif falling_edge (dvi_clk) then --not supported in the current software release!<br />

--TFT_DVI_DATA:= g_out (3 downto 0) & b_out (7 downto 0);<br />

TFT_DVI_DATA:= r_out (3 downto 2) & g_out (3 downto 2) & b_out (3 downto 2)<br />

& r_out (1 downto 0) & g_out (1 downto 0) & b_out (1 downto 0);<br />

-- TFT_DVI_DATA_0

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!