30.08.2014 Views

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

FPGA Receiver (structural) chip<br />

0);<br />

downto 0);<br />

0)<br />

);<br />

END COMPONENT;<br />

red_outgoing_address: IN std_logic_vector (5 downto<br />

green_outgoing_address: IN std_logic_vector (5<br />

blue_outgoing_address: IN std_logic_vector (5 downto<br />

COMPONENT get_rc_addresses_with_data is --Starting at the ZERO'TH<br />

single_address.<br />

Port (address_with_data: in STD_LOGIC_VECTOR (61 downto 0) ;<br />

row_address: out STD_LOGIC_VECTOR (5 downto 0);<br />

column_address: out STD_LOGIC_VECTOR (5 downto 0)<br />

); --Fed from "AER_sep_streams_with_incoming_addresses"<br />

END COMPONENT;<br />

COMPONENT file_support_wire_outputs is<br />

Port (an_pixel_clock: in STD_LOGIC;--pixel_clock<br />

in_red_wire_1: in STD_LOGIC_VECTOR (49 downto 0);<br />

in_red_wire_2: in STD_LOGIC_VECTOR (49 downto 0);<br />

in_red_wire_3: in STD_LOGIC_VECTOR (49 downto 0);<br />

in_red_wire_4: in STD_LOGIC_VECTOR (49 downto 0);<br />

in_green_wire_1: in STD_LOGIC_VECTOR (49 downto 0);<br />

in_green_wire_2: in STD_LOGIC_VECTOR (49 downto 0);<br />

in_green_wire_3: in STD_LOGIC_VECTOR (49 downto 0);<br />

in_green_wire_4: in STD_LOGIC_VECTOR (49 downto 0);<br />

in_blue_wire_1: in STD_LOGIC_VECTOR (49 downto 0);<br />

in_blue_wire_2: in STD_LOGIC_VECTOR (49 downto 0);<br />

in_blue_wire_3: in STD_LOGIC_VECTOR (49 downto 0);<br />

in_blue_wire_4: in STD_LOGIC_VECTOR (49 downto 0)<br />

);<br />

END COMPONENT; --end file_support_wire_outputs;<br />

COMPONENT pulse_count_to_AER_stream is<br />

Port (<br />

pcs_clk: in STD_LOGIC;<br />

single_address: in STD_LOGIC_VECTOR (3 downto<br />

0); --short_AER_RGB_stream (19 downto 18)<br />

R_pulse_count: in STD_LOGIC_VECTOR (5 downto<br />

0); --short_AER_RGB_stream (17 downto 12)<br />

G_pulse_count: in STD_LOGIC_VECTOR (5 downto<br />

0);--short_AER_RGB_stream (11 downto 6)<br />

B_pulse_count: in STD_LOGIC_VECTOR (5 downto<br />

0); --short_AER_RGB_stream (5 downto 0)<br />

AER_RGB_pulse_stream: out STD_LOGIC_VECTOR<br />

(153 downto 0)<br />

);<br />

END COMPONENT;<br />

subtype limited_current is integer range -70 to +70;<br />

--signal num: limited_current;<br />

--pixel time equals 39062.5 ns (@25fps), note that 25MHz has the periodic time of<br />

40ns approx.<br />

161 of 200

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!