30.08.2014 Views

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

Retinal Prosthesis Dissertation - Student Home Pages

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

DVI_XCLK_P clock_25MHz,<br />

spike_clock => spike_clock<br />

);<br />

Inst_get_pixel_from_spike_on_clock: get_pixel_from_spike_on_clock<br />

Port map (spike_clock => spike_clock,<br />

sim_clock => sim_clock<br />

);<br />

--Inst_mem_of_pix_1024_f1x: mem_of_pix_1024_f1x PORT MAP (<br />

----generic (width: integer; addr_width: integer);<br />

--clka => clock_25MHz,<br />

--addra => addra,<br />

--douta => pixel_data<br />

--);<br />

Inst_mem_of_aer_stream: mem_of_aer_stream PORT MAP (<br />

clka => clock_25MHz,<br />

addra => address,<br />

douta => AER_RGB_stream<br />

);<br />

--architecture behave of my_block is<br />

--begin<br />

Mem_read: process (clock_25MHz) is<br />

Begin<br />

-- if (DVI_RESET_B = '0') then<br />

-- addra blue_hue_out --<br />

stream_blue_pc<br />

);<br />

146 of 200

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!