20.07.2015 Views

M16C/62 Group DATASHEET

M16C/62 Group DATASHEET

M16C/62 Group DATASHEET

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Programmable I/O PortMitsubishi microcomputers<strong>M16C</strong> / <strong>62</strong> <strong>Group</strong>SINGLE-CHIP 16-BIT CMOS MICROCOMPUTERTable 1.23.1. Example connection of unused pins in single-chip modePin nameConnectionPorts P0 to P10(excluding P85)XOUT (Note)NMIAVCCAfter setting for input mode, connect every pin to VSS or VCC via aresistor; or after setting for output mode, leave these pins open.OpenConnect via resistor to VCC (pull-up)Connect to VCCAVSS, VREF, BYTENote: With external clock input to XIN pin.Connect to VSSTable 1.23.2. Example connection of unused pins in memory expansion mode and microprocessor modePin namePorts P6 to P10(excluding P85)P45 / CS1 to P47 / CS3BHE, ALE, HLDA,XOUT (Note 1), BCLK (Note 2)HOLD, RDY, NMIAVCCAVSS, VREFConnectionAfter setting for input mode, connect every pin to VSS or VCC via aresistor; or after setting for output mode, leave these pins open.Sets ports to input mode, sets bits CS1 through CS3 to 0, and connectsto Vcc via resistors (pull-up).OpenConnect via resistor to VCC (pull-up)Connect to VCCConnect to VSSNote 1: With external clock input to XIN pin.Note 2: When the BCLK output disable bit (bit 7 at address 000416) is set to “1”, connect to VCC via a resistor (pull-up).MicrocomputerMicrocomputerPort P0 to P10 (except for P85)Port P6 to P10 (except for P85)(Input mode)·(Input mode)·(Input mode)·(Input mode)·(Output mode)Open(Output mode)OpenNMIXOUTAVCCOpenVCCPort P45 / CS1to P47 / CS3NMIBHEHLDAALEXOUTBCLK (Note)OpenVCCBYTEHOLDAVSSRDYVREFAVCCAVSSVREFVSSVSSIn single-chip modeIn memory expansion mode orin microprocessor modeNote : When the BCLK output disable bit (bit 7 at address 000416) is set to “1”, connect to VCC via a resistor (pull-up).Figure 1.23.10. Example connection of unused pins175

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!