21.01.2013 Views

Lecture Notes in Computer Science 4917

Lecture Notes in Computer Science 4917

Lecture Notes in Computer Science 4917

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

COFFEE: COmpiler Framework for Energy-Aware Exploration 207<br />

different <strong>in</strong>terest<strong>in</strong>g explorations which the designer may want to perform dur<strong>in</strong>g the<br />

early phase of the design process. It can be clearly seen that for various architecturecompiler<br />

co-exploration, the proposed tools are quite user friendly. Even for a large<br />

exploration task like f<strong>in</strong>d<strong>in</strong>g an optimal architecture by balanc<strong>in</strong>g ILP-DLP for a given<br />

application, only the mach<strong>in</strong>e description and the ISA description needs to be modified<br />

and the rest of the flow is fully automatic.<br />

6 Conclusion and Future Work<br />

In this paper we presented a framework to perform energy-aware architecture exploration.<br />

The proposed framework provides all the necessary low power architecture features<br />

to optimize processors for handheld embedded systems. We illustrated this by<br />

model<strong>in</strong>g and compil<strong>in</strong>g a representative wireless communication application<br />

(WCDMA) on two state of the art processors (<strong>in</strong>clud<strong>in</strong>g the <strong>in</strong>struction memory and<br />

data memory). We validated the accuracy of our energy estimation compared to detailed<br />

gate level simulations, us<strong>in</strong>g an <strong>in</strong>-house processor design. We have also shown<br />

that the proposed framework is capable of compil<strong>in</strong>g, simulat<strong>in</strong>g, and estimat<strong>in</strong>g energy<br />

for a wide range of architectures and advanced low power architectural features. In the<br />

future we plan perform architecture exploration for Software Def<strong>in</strong>ed Radio us<strong>in</strong>g the<br />

COFFEE framework.<br />

References<br />

1. Trimaran: An Infrastructure for Research <strong>in</strong> Instruction-Level Parallelism (1999),<br />

http://www.trimaran.org<br />

2. Aust<strong>in</strong>, T., Larson, E., Ernst, D.: Simplescalar: an <strong>in</strong>frastructure for computer system model<strong>in</strong>g.<br />

IEE <strong>Computer</strong> Magaz<strong>in</strong>e 35(2), 59–67 (2002)<br />

3. Ascia, G., Catania, V., Palesi, M., Patti, D.: Epic-explorer: A parameterized VLIW-based<br />

platform framework for design space exploration. In: Proc of ESTIMedia, pp. 3–4 (2003)<br />

4. Brooks, D., Tiwari, V., Martonosi, M.: Wattch: A framework for architectural-level power<br />

analysis and optimizations. In: Proc of ISCA, pp. 83–94 (June 2000)<br />

5. SUIF2 Compiler System (2001), http://suif.stanford.edu<br />

6. Cohen, A., Sigler, M., Girbal, S., Temam, O., Parello, D., Vasilache, N.: Facilitat<strong>in</strong>g the<br />

search for compositions of program transformations. In: Proc of ICS, pp. 151–160 (2005)<br />

7. Gordon-Ross, A., Cotterell, S., Vahid, F.: Exploit<strong>in</strong>g fixed programs <strong>in</strong> embedded systems:<br />

A loop cache example. In: Proc of IEEE <strong>Computer</strong> Architecture Letters (January 2002)<br />

8. Jayapala, M., Barat, F., Vander Aa, T., Catthoor, F., Corporaal, H., Decon<strong>in</strong>ck, G.: Clustered<br />

loop buffer organization for low energy VLIW embedded processors. IEEE Transactions on<br />

<strong>Computer</strong>s 54(6), 672–683 (2005)<br />

9. Starcore DSP Techology, SC140 DSP Core Reference Manual (June 2000),<br />

http://www.starcore-dsp.com<br />

10. Texas Instruments, Inc. TMS320C64x/C64x+ DSP CPU and Instruction Set Reference<br />

Guide (May 2006), http://focus.ti.com/docs/apps/catalog/resources/<br />

appnoteabstract.jhtml?abstractName=spru732b<br />

11. Vander Aa, T., Jayapala, M., Barat, F., Decon<strong>in</strong>ck, G., Lauwere<strong>in</strong>s, R., Catthoor, F., Corporaal,<br />

H.: Instruction buffer<strong>in</strong>g exploration for low energy VLIWs with <strong>in</strong>struction clusters.<br />

In: Proc. of ASPDAC 2004, Yokohama, Japan (January 2004)

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!