21.01.2013 Views

Lecture Notes in Computer Science 4917

Lecture Notes in Computer Science 4917

Lecture Notes in Computer Science 4917

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Integrated CPU Cache Power Management <strong>in</strong> Multiple<br />

Clock Doma<strong>in</strong> Processors<br />

Nev<strong>in</strong>e AbouGhazaleh, Bruce Childers, Daniel Mossé, and Rami Melhem<br />

Department of <strong>Computer</strong> <strong>Science</strong>, University of Pittsburgh<br />

{nev<strong>in</strong>e,childers,mosse,melhem}@cs.pitt.edu<br />

Abstract. Multiple clock doma<strong>in</strong> (MCD) chip design addresses the problem of<br />

<strong>in</strong>creas<strong>in</strong>g clock skew <strong>in</strong> different chip units. Importantly, MCD design offers<br />

an opportunity for f<strong>in</strong>e gra<strong>in</strong> power/energy management of the components <strong>in</strong><br />

each clock doma<strong>in</strong> with dynamic voltage scal<strong>in</strong>g (DVS). In this paper, we propose<br />

and evaluate a novel <strong>in</strong>tegrated DVS approach to synergistically manage the<br />

energy of chip components <strong>in</strong> different clock doma<strong>in</strong>s. We focus on embedded<br />

processors where core and L2 cache doma<strong>in</strong>s are the major energy consumers.<br />

We propose a policy that adapts clock speed and voltage <strong>in</strong> both doma<strong>in</strong>s based<br />

on each doma<strong>in</strong>’s workload and the workload experienced by the other doma<strong>in</strong>. In<br />

our approach, the DVS policy detects and accounts for the effect of <strong>in</strong>ter-doma<strong>in</strong><br />

<strong>in</strong>teractions. Based on the <strong>in</strong>teraction between the two doma<strong>in</strong>s, we select an appropriate<br />

clock speed and voltage that optimizes the energy of the entire chip. For<br />

the Mibench benchmarks, our policy achieves an average improvement over nopower-management<br />

of 15.5% <strong>in</strong> energy-delay product and 19% <strong>in</strong> energy sav<strong>in</strong>gs.<br />

In comparison to a traditional DVS policy for MCD design that manages doma<strong>in</strong>s<br />

<strong>in</strong>dependently, our policy achieves an 3.5% average improvement <strong>in</strong> energy-delay<br />

and 4% less energy, with a negligible 1% decrease <strong>in</strong> performance. We also show<br />

that an <strong>in</strong>tegrated DVS policy for MCD design with two doma<strong>in</strong>s is more energy<br />

efficient for simple embedded processors than high-end ones.<br />

1 Introduction<br />

With the <strong>in</strong>crease <strong>in</strong> number of transistors and reduced feature size, higher chip densities<br />

create a problem for clock synchronization among chip computational units. With<br />

a s<strong>in</strong>gle master clock for the entire chip, it has become difficult to design a clock distribution<br />

network that limits clock skew among the chip components. Several solutions<br />

have been proposed to this problem us<strong>in</strong>g globally-asynchronous locally synchronous<br />

(GALS) design. In GALS design, a chip is divided <strong>in</strong>to multiple clock doma<strong>in</strong>s (MCD),<br />

where <strong>in</strong>dividual chip units are associated with a particular doma<strong>in</strong>. Each doma<strong>in</strong> operates<br />

synchronously with its own clock and communicates with other doma<strong>in</strong>s asynchronously<br />

through queues.<br />

In addition to address<strong>in</strong>g clock skew, MCD design offers important benefits to reduc<strong>in</strong>g<br />

power consumption with dynamic voltage scal<strong>in</strong>g (DVS) at the doma<strong>in</strong> level. Such<br />

f<strong>in</strong>e-gra<strong>in</strong> power management is important for embedded systems, which often have especially<br />

tight constra<strong>in</strong>ts on power/energy requirements. Indeed, National Semiconductor<br />

has recently developed a technology, called PowerWise, that uses multiple doma<strong>in</strong>s<br />

P. Stenström et al. (Eds.): HiPEAC 2008, LNCS <strong>4917</strong>, pp. 209–223, 2008.<br />

c○ Spr<strong>in</strong>ger-Verlag Berl<strong>in</strong> Heidelberg 2008

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!