10.12.2012 Views

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 8: EDA Netlist Writer Assignments 8–55<br />

EDA_TEST_BENCH_RUN_FOR<br />

EDA_TEST_BENCH_RUN_FOR<br />

Type<br />

Specifies the simulation run time for a third-party simulation in test bench mode.<br />

Time<br />

Device Support<br />

This setting can be used in projects targeting any <strong>Altera</strong> device family.<br />

Syntax<br />

set_global_assignment -name EDA_TEST_BENCH_RUN_FOR -section_id <br />

set_global_assignment -name EDA_TEST_BENCH_RUN_FOR -entity -<br />

section_id <br />

June 2012 <strong>Altera</strong> Corporation <strong>Quartus</strong> <strong>Settings</strong> <strong>File</strong><br />

<strong>Reference</strong> <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!