10.12.2012 Views

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

6–118 Chapter 6: Fitter Assignments<br />

EXCLUSIVE_IO_GROUP<br />

EXCLUSIVE_IO_GROUP<br />

Type<br />

Assigns an exclusive group number for the specified I/O. I/Os with the different<br />

exclusive group number cannot share the same bank.<br />

Integer<br />

Device Support<br />

This setting can be used in projects targeting the following device families:<br />

■ Arria GX<br />

■ Arria <strong>II</strong> GX<br />

■ Arria <strong>II</strong> GZ<br />

■ Arria V<br />

■ Cyclone <strong>II</strong><br />

■ Cyclone <strong>II</strong>I<br />

■ Cyclone <strong>II</strong>I LS<br />

■ Cyclone IV E<br />

■ Cyclone IV GX<br />

■ Cyclone V<br />

■ HardCopy <strong>II</strong><br />

■ HardCopy <strong>II</strong>I<br />

■ HardCopy IV<br />

■ Stratix <strong>II</strong><br />

■ Stratix <strong>II</strong> GX<br />

■ Stratix <strong>II</strong>I<br />

■ Stratix IV<br />

■ Stratix V<br />

Notes<br />

This assignment supports wildcards.<br />

Syntax<br />

set_instance_assignment -name EXCLUSIVE_IO_GROUP -to -entity <br />

Example<br />

set_instance_assignment -name "EXCLUSIVE_IO_GROUP" -to pin<br />

<strong>Quartus</strong> <strong>Settings</strong> <strong>File</strong> June 2012 <strong>Altera</strong> Corporation<br />

<strong>Reference</strong> <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!