10.12.2012 Views

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

FAST_INPUT_REGISTER<br />

Type<br />

© 2012 <strong>Altera</strong> Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos<br />

are trademarks of <strong>Altera</strong> Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as<br />

trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. <strong>Altera</strong> warrants performance of its<br />

semiconductor products to current specifications in accordance with <strong>Altera</strong>'s standard warranty, but reserves the right to make changes to any products and<br />

services at any time without notice. <strong>Altera</strong> assumes no responsibility or liability arising out of the application or use of any information, product, or service<br />

described herein except as expressly agreed to in writing by <strong>Altera</strong>. <strong>Altera</strong> customers are advised to obtain the latest version of device specifications before relying<br />

on any published information and before placing orders for products or services.<br />

<strong>Quartus</strong> <strong>Settings</strong> <strong>File</strong><br />

<strong>Reference</strong> <strong>Manual</strong><br />

June 2012<br />

2. Pin & Locations Assignments<br />

Implements an input register in a cell that has a fast, direct connection from an I/O<br />

pin. If such a fast, direct connection from the I/O pin is not available on the I/O cell<br />

hardware, this option instructs the Fitter to lock the input register in the logic array<br />

black (LAB) adjacent to the I/O cell feeding it. Turning on the Fast Input Register<br />

option can help maximize I/O timing performance, for example, by permitting fast<br />

setup times. Turning this option off for a particular signal prevents the Fitter from<br />

implementing the signal automatically in an I/O cell or locking down the input<br />

register in the LAB adjacent to the I/O cell. This option is ignored if it is applied to<br />

anything other than a register or an input or bidirectional pin that feeds a register.<br />

Boolean<br />

Device Support<br />

This setting can be used in projects targeting the following device families:<br />

■ Arria GX<br />

■ Arria <strong>II</strong> GX<br />

■ Arria <strong>II</strong> GZ<br />

■ Arria V<br />

■ Cyclone<br />

■ Cyclone <strong>II</strong><br />

■ Cyclone <strong>II</strong>I<br />

■ Cyclone <strong>II</strong>I LS<br />

■ Cyclone IV E<br />

■ Cyclone IV GX<br />

■ Cyclone V<br />

■ HardCopy <strong>II</strong><br />

■ HardCopy <strong>II</strong>I<br />

■ HardCopy IV<br />

■ MAX <strong>II</strong><br />

ISO<br />

9001:2008<br />

Registered<br />

Subscribe

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!