10.12.2012 Views

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

6–74 Chapter 6: Fitter Assignments<br />

DECREASE_INPUT_DELAY_TO_OUTPUT_REGISTER<br />

DECREASE_INPUT_DELAY_TO_OUTPUT_REGISTER<br />

Type<br />

Decreases the propagation delay from the interior of the device to the data input of<br />

the output register implemented in an I/O cell. This is an advanced option that<br />

should be used only after you have compiled a project, checked the I/O timing, and<br />

determined that the timing is unsatisfactory. For detailed information on how to use<br />

this option, refer to the data sheet for the device family. This option is ignored if it is<br />

applied to anything other an output or bidirectional pin that is associated with an<br />

output register implemented in an I/O cell.<br />

Enumeration<br />

■ Off<br />

■ On<br />

Device Support<br />

This setting can be used in projects targeting the following device families:<br />

■ Stratix<br />

■ Stratix GX<br />

Notes<br />

This assignment supports wildcards.<br />

Syntax<br />

set_instance_assignment -name DECREASE_INPUT_DELAY_TO_OUTPUT_REGISTER -to<br />

-entity <br />

Old Names<br />

This variable also has the following name(s) in some earlier versions of the <strong>Quartus</strong> <strong>II</strong><br />

software:<br />

DELAY_SETTING_TO_CORE_TO_OUTPUT_REGISTER<br />

<strong>Quartus</strong> <strong>Settings</strong> <strong>File</strong> June 2012 <strong>Altera</strong> Corporation<br />

<strong>Reference</strong> <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!