10.12.2012 Views

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

6–186 Chapter 6: Fitter Assignments<br />

IO_STANDARD<br />

IO_STANDARD<br />

Type<br />

Specifies the I/O standard of a pin. Different device families support different I/O<br />

standards, and restrictions apply to placing pins with different I/O standards<br />

together. For more information, refer to the respective device family data sheet. This<br />

option is ignored if it is applied to anything other than a pin or a top-level design<br />

entity.<br />

This option specifies an I/O standard of a pin. If a pin does not have this option, the<br />

<strong>Quartus</strong> <strong>II</strong> software automatically assigns an I/O standard to the pin, based on the<br />

global device option STRATIX_DEVICE_IO_STANDARD (or its device value), or<br />

derived from the netlist (for example, using differential output buffer implies that<br />

differential I/O standard is used). You should specify this option explicitly because<br />

I/O standard affects placement, timing and power. This option also implies what<br />

other I/O options are supported such as current strength, slew rate, termination, preemphasis<br />

and VOD. This option is always honored.<br />

String<br />

Device Support<br />

This setting can be used in projects targeting the following device families:<br />

■ Arria GX<br />

■ Arria <strong>II</strong> GX<br />

■ Arria <strong>II</strong> GZ<br />

■ Arria V<br />

■ Cyclone<br />

■ Cyclone <strong>II</strong><br />

■ Cyclone <strong>II</strong>I<br />

■ Cyclone <strong>II</strong>I LS<br />

■ Cyclone IV E<br />

■ Cyclone IV GX<br />

■ Cyclone V<br />

■ HardCopy <strong>II</strong><br />

■ HardCopy <strong>II</strong>I<br />

■ HardCopy IV<br />

■ MAX <strong>II</strong><br />

■ MAX V<br />

■ MAX7000B<br />

■ Stratix<br />

■ Stratix GX<br />

<strong>Quartus</strong> <strong>Settings</strong> <strong>File</strong> June 2012 <strong>Altera</strong> Corporation<br />

<strong>Reference</strong> <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!