10.12.2012 Views

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: Analysis & Synthesis Assignments 4–67<br />

EDA_LMF_FILE<br />

EDA_LMF_FILE<br />

Type<br />

Specifies the default Library Mapping <strong>File</strong> (.lmf) for the current compilation.<br />

<strong>File</strong> name<br />

Device Support<br />

This setting can be used in projects targeting any <strong>Altera</strong> device family.<br />

Notes<br />

The value of this assignment is case sensitive.<br />

Syntax<br />

set_global_assignment -name EDA_LMF_FILE -section_id <br />

<br />

set_global_assignment -name EDA_LMF_FILE -entity -section_id<br />

<br />

June 2012 <strong>Altera</strong> Corporation <strong>Quartus</strong> <strong>Settings</strong> <strong>File</strong><br />

<strong>Reference</strong> <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!