10.12.2012 Views

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: Analysis & Synthesis Assignments 4–173<br />

SYNTHESIS_KEEP_SYNCH_CLEAR_PRESET_BEHAVIOR_IN_UNMAPPER<br />

SYNTHESIS_KEEP_SYNCH_CLEAR_PRESET_BEHAVIOR_IN_UNMAPPER<br />

Type<br />

When this option is set to On, synthesis keeps the synchronous clear or preset<br />

behavior when remapping the I/O WYSIWYG primitives (from other device families)<br />

using the DDIO INPUT feature to the targeted device family.<br />

For example, the DDIO INPUT of the Stratix <strong>II</strong>I device family behaves differently<br />

from Stratix <strong>II</strong> when you use synchronous clear or preset behavior. For the Stratix <strong>II</strong>I<br />

device family, the synchronous clear or preset behavior affects all three registers,<br />

while it only affects the two capture registers in Stratix <strong>II</strong>.<br />

Boolean<br />

Device Support<br />

This setting can be used in projects targeting any <strong>Altera</strong> device family.<br />

Syntax<br />

set_global_assignment -name<br />

SYNTHESIS_KEEP_SYNCH_CLEAR_PRESET_BEHAVIOR_IN_UNMAPPER -entity <br />

set_instance_assignment -name<br />

SYNTHESIS_KEEP_SYNCH_CLEAR_PRESET_BEHAVIOR_IN_UNMAPPER -to -entity<br />

<br />

set_global_assignment -name<br />

SYNTHESIS_KEEP_SYNCH_CLEAR_PRESET_BEHAVIOR_IN_UNMAPPER <br />

Example<br />

set_global_assignment -name<br />

synthesis_keep_synch_clear_preset_behavior_in_unmapper on<br />

set_instance_assignment -name<br />

synthesis_keep_synch_clear_preset_behavior_in_unmapper on -to foo<br />

June 2012 <strong>Altera</strong> Corporation <strong>Quartus</strong> <strong>Settings</strong> <strong>File</strong><br />

<strong>Reference</strong> <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!