10.12.2012 Views

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

6–260 Chapter 6: Fitter Assignments<br />

PLL_COMPENSATION_MODE<br />

Type<br />

Enumeration<br />

■ Direct<br />

■ External Feedback<br />

■ LVDS<br />

■ Normal<br />

Device Support<br />

■ Source Synchronous<br />

■ Zero Delay Buffer<br />

This setting can be used in projects targeting the following device families:<br />

■ Arria V<br />

■ Cyclone V<br />

■ Stratix V<br />

Notes<br />

This assignment supports wildcards.<br />

This assignment is included in the Fitter report.<br />

Syntax<br />

set_instance_assignment -name PLL_COMPENSATION_MODE -to -entity<br />

<br />

<strong>Quartus</strong> <strong>Settings</strong> <strong>File</strong> June 2012 <strong>Altera</strong> Corporation<br />

<strong>Reference</strong> <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!