10.12.2012 Views

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

6–134 Chapter 6: Fitter Assignments<br />

FORCE_CONFIGURATION_VCCIO<br />

FORCE_CONFIGURATION_VCCIO<br />

Type<br />

Forces the V CCIO voltage of the configuration pins to be the same as the configuration<br />

device I/O voltage.<br />

In some device families, the V CCIO of some banks power both general purpose I/Os<br />

and configuration pins.<br />

Turning on this option forces the Fitter to produce an I/O placement in such a way<br />

that the V CCIO would be consistent with the selected configuration voltage.<br />

Fitter produces placement errors if such I/O placement cannot be found.<br />

Boolean<br />

Device Support<br />

This setting can be used in projects targeting the following device families:<br />

■ Arria GX<br />

■ Arria <strong>II</strong> GX<br />

■ Arria <strong>II</strong> GZ<br />

■ Arria V<br />

■ Cyclone <strong>II</strong>I<br />

■ Cyclone <strong>II</strong>I LS<br />

■ Cyclone IV E<br />

■ Cyclone IV GX<br />

■ Cyclone V<br />

■ HardCopy <strong>II</strong><br />

■ HardCopy <strong>II</strong>I<br />

■ HardCopy IV<br />

■ Stratix <strong>II</strong><br />

■ Stratix <strong>II</strong> GX<br />

■ Stratix <strong>II</strong>I<br />

■ Stratix IV<br />

■ Stratix V<br />

Syntax<br />

set_global_assignment -name FORCE_CONFIGURATION_VCCIO <br />

Example<br />

set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON<br />

<strong>Quartus</strong> <strong>Settings</strong> <strong>File</strong> June 2012 <strong>Altera</strong> Corporation<br />

<strong>Reference</strong> <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!