10.12.2012 Views

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 4: Analysis & Synthesis Assignments 4–93<br />

IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF<br />

IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF<br />

Type<br />

Instructs Analysis & Synthesis to ignore all translate_off or synthesis_off<br />

synthesis directives in your Verilog and VHDL design files. You can use this option to<br />

disable these synthesis directives and include previously ignored code during<br />

elaboration.<br />

You can use this option to compile code that was previously ignored by third-party<br />

synthesis tools, for example, megafunction declarations that were treated as blackboxes<br />

in other tools but that may be compiled in the <strong>Quartus</strong> <strong>II</strong> software.<br />

This option defaults to Off.<br />

Boolean<br />

Device Support<br />

This setting can be used in projects targeting any <strong>Altera</strong> device family.<br />

Notes<br />

This assignment is included in the Analysis & Synthesis report.<br />

Syntax<br />

set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF <br />

Example<br />

set_global_assignment -name ignore_translate_off_and_synthesis_off on<br />

Default Value<br />

Off<br />

June 2012 <strong>Altera</strong> Corporation <strong>Quartus</strong> <strong>Settings</strong> <strong>File</strong><br />

<strong>Reference</strong> <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!