10.12.2012 Views

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

Quartus II Settings File Reference Manual - Altera

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

6–218 Chapter 6: Fitter Assignments<br />

OPTIMIZE_POWER_DURING_FITTING<br />

OPTIMIZE_POWER_DURING_FITTING<br />

Type<br />

Controls the power-driven compilation setting of the Fitter. This option determines<br />

how aggressively the Fitter optimizes the design for power. If you set this option to<br />

Off, the Fitter does not perform any power optimizations. If you set this option to<br />

Normal compilation, the Fitter performs power optimizations which should not<br />

impact design performance or increase compile time. If you set this option to Extra<br />

effort, the Fitter performs additional power optimizations which may affect design<br />

performance and/or increase compile time. For the best results with Extra Effort<br />

power optimization during fitting, you should specify a Signal Activity <strong>File</strong> (.saf) that<br />

lists the toggle rate of each signal in the design. To generate the most accurate .saf, use<br />

a gate-level simulation, with glitch filtering, of the compiled design. Specify this .saf<br />

as an input to the Power Analyzer in the PowerPlay Power Analysis <strong>Settings</strong>, and<br />

recompile the design with Extra Effort PowerPlay Power Optimization during fitting.<br />

The signal activities (toggle rates) in the .saf help guide the Fitter to reduce power.<br />

Enumeration<br />

■ Extra effort<br />

■ Normal compilation<br />

■ Off<br />

Device Support<br />

This setting can be used in projects targeting the following device families:<br />

■ Arria GX<br />

■ Arria <strong>II</strong> GX<br />

■ Arria <strong>II</strong> GZ<br />

■ Arria V<br />

■ Cyclone <strong>II</strong><br />

■ Cyclone <strong>II</strong>I<br />

■ Cyclone <strong>II</strong>I LS<br />

■ Cyclone IV E<br />

■ Cyclone IV GX<br />

■ Cyclone V<br />

■ HardCopy <strong>II</strong><br />

■ HardCopy <strong>II</strong>I<br />

■ HardCopy IV<br />

■ MAX <strong>II</strong><br />

■ MAX V<br />

■ Stratix <strong>II</strong><br />

<strong>Quartus</strong> <strong>Settings</strong> <strong>File</strong> June 2012 <strong>Altera</strong> Corporation<br />

<strong>Reference</strong> <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!