04.04.2013 Views

1996 Electronics Industry Environmental Roadmap - Civil and ...

1996 Electronics Industry Environmental Roadmap - Civil and ...

1996 Electronics Industry Environmental Roadmap - Civil and ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Appendix A<br />

SIA/SEMATECH Chemical, energy <strong>and</strong> water mass balance will support reducing chemicals<br />

<strong>and</strong> effluent, <strong>and</strong> sizing facility exhaust <strong>and</strong> waste h<strong>and</strong>ling<br />

systems.<br />

Cost of ownership includes the purchase, use management, disposal<br />

<strong>and</strong> liability costs<br />

Some progress beyond the 0.5mm technology level is being made in<br />

hazardous chemical use reduction, reduced emissions, <strong>and</strong> tool <strong>and</strong><br />

factory mass balance. Very little progress has been made beyond the<br />

0.5 mm technology level with regard to CoO, hazardous chemical risk<br />

assessment, <strong>and</strong> ergonomics.<br />

Significant progress is being made in reducing the use of hazardous<br />

chemicals. Ozone-depleting substances <strong>and</strong> targeted glycol ethers are<br />

rapidly disappearing from semiconductor wafer manufacturing <strong>and</strong><br />

assembly processes.<br />

(continued)<br />

SIA/SEMATECH Alternative chemicals <strong>and</strong> safer delivery methods are being sought for<br />

silane, dopants, <strong>and</strong> hazardous solvents <strong>and</strong> degreasers used in<br />

equipment cleaning <strong>and</strong> product assembly processes.<br />

Emission abatement technology, process optimization, <strong>and</strong><br />

replacement chemicals are needed for atmospherically long-lived<br />

process gases, such as perfluoro compounds.<br />

Advanced wafer cleaning methods that use either significantly less<br />

chemicals or none at all have been demonstrated, although much<br />

research is still needed.<br />

Room for significant improvement in energy consumption per m2 of<br />

silicon wafer processed.<br />

Efficient use of water in processes <strong>and</strong> cost-effective recycling of<br />

ultrapure water in facilities are strategic needs that require more<br />

emphasis.<br />

Calls for move to additive processes by 2010<br />

Key environmental safety <strong>and</strong> health requirements in materials involve<br />

processes include improved h<strong>and</strong>ling of hazardous materials,<br />

alternatives to hazardous materials, waste stream minimization or<br />

abatement, selective deposition processes, point-of-use chemical<br />

generation, water recycling, improved ergonomic design of equipment,<br />

process material minimization, <strong>and</strong> chemical reprocessing.<br />

143

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!