21.07.2013 Aufrufe

DIPLOMARBEIT - FG Mikroelektronik, TU Berlin

DIPLOMARBEIT - FG Mikroelektronik, TU Berlin

DIPLOMARBEIT - FG Mikroelektronik, TU Berlin

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

Technische Universität <strong>Berlin</strong><br />

Institut für <strong>Mikroelektronik</strong><br />

Lukas Bauer<br />

INTERRUPT<br />

T1<br />

entry<br />

S1<br />

T2<br />

Diplomarbeit<br />

Hochleistungs-Grafikprozessor in Speedchart-VHDL<br />

A: if STAT_CLR=’1’ then<br />

R_STA<strong>TU</strong>S:=lo8; -- clear R_STA<strong>TU</strong>S<br />

-- after register read<br />

STAT_CLR:=’0’;<br />

INT_oe:=’0’; -- end INT pulse<br />

else<br />

if VINT_RQ=’1’ then -- vertical int.rq.<br />

R_STA<strong>TU</strong>S(0):=’1’;<br />

if R_IMASK(0)=’1’ and R_IMASK(7)=’1’ then<br />

INT_oe:=’1’;<br />

end if;<br />

VINT_RQ:=’0’;<br />

end if;<br />

if HINT_RQ=’1’ then -- horizontal int.rq.<br />

R_STA<strong>TU</strong>S(1):=’1’;<br />

if R_IMASK(1)=’1’ and R_IMASK(7)=’1’ then<br />

INT_oe:=’1’;<br />

end if;<br />

HINT_RQ:=’0’;<br />

end if;<br />

if REFINT_RQ=’1’ then -- refresh int.rq.<br />

R_STA<strong>TU</strong>S(2):=’1’;<br />

if R_IMASK(2)=’1’ and R_IMASK(7)=’1’ then<br />

INT_oe:=’1’;<br />

end if;<br />

REFINT_RQ:=’0’;<br />

end if;<br />

if CINT_RQ=’1’ then -- clipping int.rq.<br />

R_STA<strong>TU</strong>S(3):=’1’;<br />

if R_IMASK(3)=’1’ and R_IMASK(7)=’1’ then<br />

INT_oe:=’1’;<br />

end if;<br />

CINT_RQ:=’0’;<br />

end if;<br />

if WINT_RQ=’1’ then -- window viol. int.rq.<br />

R_STA<strong>TU</strong>S(4):=’1’;<br />

if R_IMASK(4)=’1’ and R_IMASK(7)=’1’ then<br />

INT_oe:=’1’;<br />

end if;<br />

WINT_RQ:=’0’;<br />

end if;<br />

if RLEINT_RQ=’1’ then -- reload error int.rq.<br />

R_STA<strong>TU</strong>S(5):=’1’;<br />

if R_IMASK(5)=’1’ and R_IMASK(7)=’1’ then<br />

INT_oe:=’1’;<br />

end if;<br />

RLEINT_RQ:=’0’;<br />

end if;<br />

end if;<br />

Abbildung 102: Speedchart-Diagramm TIM/CONTROL/INTERRUPT<br />

Anhang D.2<br />

Seite 132

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!