29.01.2015 Views

Embedded Software for SoC - Grupo de Mecatrônica EESC/USP

Embedded Software for SoC - Grupo de Mecatrônica EESC/USP

Embedded Software for SoC - Grupo de Mecatrônica EESC/USP

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

486 Chapter 35<br />

2. ENERGY MODELING IN FUNCTIONAL UNITS<br />

A series of approximations are used to <strong>de</strong>velop a simple power consumption<br />

mo<strong>de</strong>l <strong>for</strong> many computational modules. To begin, it is known [15] that the<br />

most important source of power dissipation in a module is the dynamic<br />

charging and discharging of its gates, called the switched capacitance. This<br />

switched capacitance is <strong>de</strong>pen<strong>de</strong>nt upon the module’s input values [14]. It<br />

has been further shown [6, 13] that the Hamming distance of consecutive input<br />

patterns, <strong>de</strong>fined as the number of bit positions that differ between them,<br />

provi<strong>de</strong>s a suitable measure of power consumption. In [6, 13], power is<br />

mo<strong>de</strong>led as:<br />

where<br />

voltage, f= clock frequency, of output gate k,<br />

# transitions <strong>for</strong> output gate k (called switching activity), total<br />

capacitance of the module, and<br />

Hamming distance of the current<br />

inputs to the previous ones.<br />

Since power consumption is approximately linearly proportional to<br />

it is <strong>de</strong>sirable to minimize Such a minimization is possible because<br />

mo<strong>de</strong>rn processors contain multiple integer arithmetic logic units, or IALUs,<br />

and multiple floating point arithmetic units, or FPAUs. IALU and FPAU are<br />

types of functional units, or FUs. Current superscalars assign operations to<br />

the FUs of a given type without consi<strong>de</strong>ring power; a better assignment can<br />

reduce power, however, as illustrated by the example in Figure 35-1, which<br />

shows the input operands to three i<strong>de</strong>ntical FUs, in two successive cycles. The<br />

alternate routing consumes less power because it reduces the Hamming<br />

distance between cycles 1 and 2. Figure 35-1 assumes that the router has the<br />

ability to not only assign an operation to any FU, but also to swap the<br />

operands, when beneficial and legal. For instance, it is legal to swap the<br />

operands of an add operation, but not those of a subtract. (A subtract’s

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!