13.07.2015 Views

ModuleWare Reference Guide - Hornad

ModuleWare Reference Guide - Hornad

ModuleWare Reference Guide - Hornad

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Logic PartsThree-state Buffer (tribuf)Three-state Buffer (tribuf)When enabled, the value of input port din is passed to the output port dout.When disabled, all bits of dout are set to Z. If the scalar port ena haspositive polarity, the buffer is enabled when ena = 1. If ena has negativepolarity, the buffer is enabled when ena = 0.This part is equivalent to n 1-bit tristate buffers where n is the port width.Setting dout_type to ActiveLow results in a Three-state Inverter.FunctionFor every bit in the bus:doutdout= din when enabled= Z when disabledTruth TableThis table is for positive polarity. For negative polarity, invert the values.Table 2-31. Three-state Buffer Truth Tabledin(i) ena dout(i)0 1 01 1 10 0 Z1 0 ZParametersTable 2-32. Three-state Buffer ParametersParameter Values Defaultdin, dout Port widths (must be > 0) Automaticena_type, dout_type ActiveHigh, ActiveLow ActiveHighDesign Rule Checks• An error is issued if the width of any port cannot be determined, port ena does not have afixed width of 1 or if ports din0 and dout are not the same width.• A warning is issued and HDL generation fails for this part if any of the ports are notconnected.52<strong>ModuleWare</strong> <strong>Reference</strong> Manual, Library Version 1.9September 18, 2008

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!