11.10.2013 Aufrufe

Hardware-Entwurf mit VHDL

Hardware-Entwurf mit VHDL

Hardware-Entwurf mit VHDL

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

<strong>Hardware</strong>-<strong>Entwurf</strong> <strong>mit</strong> <strong>VHDL</strong><br />

Prof. Dr.-Ing. Stefan Wolter<br />

Kapitel 3 <strong>VHDL</strong> - Simulation<br />

3.1 Einleitung<br />

Elektrotechnik und Informatik<br />

Bei einer Designmethodik unter Verwendung von Synthesewerkzeugen müssen die Eingabe-Modelle<br />

für die Synthese und die Synthese-Ergebnisse simuliert werden.<br />

• Simulation vor der Synthese:<br />

- Die Simulation der Modelle dient der frühzeitigen Funktionsüberprüfung.<br />

- Die Simulation erfordert i.d.R. eine Testumgebung, die Eingabedaten (Stimuli) zur Verfügung<br />

stellt und die Ist-Antworten <strong>mit</strong> den Soll-Antworten vergleicht. Die automatische Überprüfung<br />

der Ist-Antworten <strong>mit</strong> den Soll-Antworten erspart die manuelle (aufwendige und fehlerträchtige)<br />

Überprüfung.<br />

• Simulation nach der Synthese:<br />

- Die Simulation von Syntheseergebnissen (von Netzlisten) dient der Untersuchung, ob das<br />

Ergebnis der Synthese funktional <strong>mit</strong> den Synthesemodellen übereinstimmt.<br />

- Bei der Simulation von Netzlisten werden die Zeitverzögerungen der Komponenten <strong>mit</strong> simuliert,<br />

wodurch Timing-Fehler auftreten können.<br />

• Simulationsphasen:<br />

- Gemäß dem Language Reference Manual (LRM) der Sprache <strong>VHDL</strong> erfolgt die Simulation<br />

eines <strong>VHDL</strong>-Modells in den drei Schritten „Elaboration“, „Initialization“ und „Execution“.<br />

- In der „Elaboration“-Phase wird das Simulationsmodell <strong>mit</strong> allen Hierarchieebenen, Blöcken<br />

und Signalen aus den compilierten <strong>VHDL</strong>-Modellen aufgebaut.<br />

- In der „Initialization“-Phase werden alle Signale, Variablen und Konstanten <strong>mit</strong> Anfangswerten<br />

versehen.<br />

- In der „Execution“-Phase wird die eigentliche Simulation durchgeführt.<br />

<strong>VHDL</strong> - SIMULATION 89

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!