24.12.2012 Aufrufe

Schaltungsdesign mit VHDL

Schaltungsdesign mit VHDL

Schaltungsdesign mit VHDL

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

B Die Sprache <strong>VHDL</strong><br />

Die oben beschriebenen typbezogenen Attribute sollen nun anhand<br />

einiger Beispiele erläutert werden:<br />

PROCESS<br />

TYPE asc_int IS RANGE 2 TO 8; -- steigend<br />

TYPE desc_int IS RANGE 8 DOWNTO 2; -- fallend<br />

TYPE colors IS (white, red, yellow, green, blue);<br />

SUBTYPE signal_colors IS colors RANGE (red TO green);<br />

VARIABLE a : integer := 0;<br />

VARIABLE b : colors := blue;<br />

VARIABLE c : boolean := true;<br />

BEGIN<br />

a := asc_int'LEFT; -- Ergebnis: 2<br />

a := asc_int'RIGHT; -- Ergebnis: 8<br />

a := asc_int'LOW; -- Ergebnis: 2<br />

a := asc_int'HIGH; -- Ergebnis: 8<br />

a := desc_int'LEFT; -- Ergebnis: 8<br />

a := desc_int'RIGHT; -- Ergebnis: 2<br />

a := desc_int'LOW; -- Ergebnis: 2<br />

a := desc_int'HIGH; -- Ergebnis: 8<br />

a := asc_int'PRED(7); -- Ergebnis: 6<br />

a := asc_int'SUCC(4); -- Ergebnis: 5<br />

a := asc_int'LEFTOF(7); -- Ergebnis: 6<br />

a := asc_int'RIGHTOF(4); -- Ergebnis: 5<br />

a := desc_int'PRED(7); -- Ergebnis: 6<br />

a := desc_int'SUCC(4); -- Ergebnis: 5<br />

a := desc_int'LEFTOF(7); -- Ergebnis: 8<br />

a := desc_int'RIGHTOF(4); -- Ergebnis: 3<br />

a := asc_int'PRED(2); -- !! illegal: kein Vorgaenger<br />

a := asc_int'SUCC(8); -- !! illegal: kein Nachfolger<br />

a := asc_int'LEFTOF(15); -- !! illegal: falscher Index<br />

b := signal_colors'RIGHT; -- Ergebnis: green<br />

b := signal_colors'BASE'RIGHT; -- Ergebnis: blue<br />

b := colors'LEFTOF(red); -- Ergebnis: white<br />

b := colors'RIGHTOF(red); -- Ergebnis: yellow<br />

a := colors'POS(red); -- Ergebnis: 1 (Aufzaehltypen<br />

-- werden von 0 an indiziert)<br />

b := colors'VAL(2); -- Ergebnis: yellow<br />

c := asc_int'ASCENDING; -- Ergebnis: true !!! <strong>VHDL</strong>'93<br />

c := desc_int'ASCENDING; -- Ergebnis: false !!! <strong>VHDL</strong>'93<br />

b := colors'VALUE("red "); -- Ergebnis: red (<strong>VHDL</strong>'93)<br />

WAIT;<br />

END PROCESS;<br />

Das Ergebnis der Attribute RIGHT/LEFT und RIGHTOF/LEFTOF<br />

hängt also von der Indizierungsrichtung ab. Bei fallender Indizierung<br />

sind die Ergebnisse identisch <strong>mit</strong> den Ergebnissen von LOW/HIGH<br />

132 © G. Lehmann/B. Wunder/M. Selz

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!