24.12.2012 Aufrufe

Schaltungsdesign mit VHDL

Schaltungsdesign mit VHDL

Schaltungsdesign mit VHDL

MEHR ANZEIGEN
WENIGER ANZEIGEN

Erfolgreiche ePaper selbst erstellen

Machen Sie aus Ihren PDF Publikationen ein blätterbares Flipbook mit unserer einzigartigen Google optimierten e-Paper Software.

C Anwendung von <strong>VHDL</strong><br />

a (0...7)<br />

b (0...7)<br />

& & & & & & & &<br />

Abb. C-6: Schaltbild des 8-fach NAND-Gatters<br />

LIBRARY ieee;<br />

USE ieee.std_logic_1164.ALL;<br />

ENTITY nand2 IS<br />

PORT (a,b: IN std_ulogic_vector (0 TO 7);<br />

y: OUT std_ulogic_vector (0 TO 7));<br />

END ENTITY;<br />

y (0...7)<br />

Die Architekturen one und two verwenden die überladene Funktion<br />

NAND aus dem Package std_logic_1164 in vektorieller und Einzelbitversion.<br />

ARCHITECTURE one OF nand2 IS<br />

BEGIN<br />

y

Hurra! Ihre Datei wurde hochgeladen und ist bereit für die Veröffentlichung.

Erfolgreich gespeichert!

Leider ist etwas schief gelaufen!