20.06.2013 Views

Xilinx UG194 Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC ...

Xilinx UG194 Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC ...

Xilinx UG194 Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC ...

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

R<br />

Reduced Gigabit Media Independent Interface (RGMII)<br />

At 1 Gb/s, all external logic is clocked at 125 MHz. At 100 Mb/s and 10 Mb/s, the logic is<br />

clocked at 25 MHz and 2.5 MHz, respectively. To maintain the correct data rate at the client<br />

interface, clock enable signals are output on E<strong>MAC</strong>#CLIENTTXCLIENTCLKOUT for the<br />

transmitter logic, and E<strong>MAC</strong>#CLIENTRXCLIENTCLKOUT for the receiver logic. These<br />

signals are High during 1 Gb/s operation and toggle on each clock edge at slower speeds.<br />

These are used to enable the client interface logic.<br />

Due to the timing relationship between the RGMII transmit clock and the internal client<br />

clock signals, the TX_ACK signal (CLIENTE<strong>MAC</strong>#TXACK) is registered at the output of the<br />

<strong>Ethernet</strong> <strong>MAC</strong> when operating at speeds below 1 Gb/s. At 1 Gb/s, the register is<br />

bypassed.<br />

RGMII_TXC is derived from the <strong>Ethernet</strong> <strong>MAC</strong> by routing the<br />

E<strong>MAC</strong>#PHYTXGMIIMIICLKOUT port to an IOB DDR output register, followed by an<br />

ODELAY element and an OBUF (which is then connected to the PHY). The ODELAY<br />

element is used to generate 2 ns of skew required between RGMII_TXC and RGMII_TXD at<br />

the <strong>FPGA</strong> device pads. This delay is specified in the<br />

Hewlett Packard RGMII Specification, v2.0 to provide setup and hold times on the external<br />

interface.<br />

RGMII_RXC is generated by the PHY and connected to PHYE<strong>MAC</strong>#RXCLK via an IBUFG<br />

and a BUFG. Fixed-mode IDELAYs are instantiated on the RGMII clock and data lines.<br />

These are set to sample a 1 ns setup, 1 ns hold window at the device pads. RGMII_RXC<br />

drives all receive logic. The CLIENTE<strong>MAC</strong>#DCMLOCKED port must be tied High.<br />

This clocking scheme requires two fewer BUFGs than the default scheme detailed in<br />

Figure 6-15.<br />

TE<strong>MAC</strong> User Guide www.xilinx.com 163<br />

<strong>UG194</strong> (v1.10) February 14, 2011

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!