21.04.2013 Views

ETTC'2003 - SEE

ETTC'2003 - SEE

ETTC'2003 - SEE

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

e depicted in Eq.11~13, where f0 is the local oscillator frequency and supposes to be same with<br />

the carrier. I (nT), Q (nT) and their complex spectrum are figured in fig.7.<br />

− j2πf<br />

0nT r(<br />

nT ) ⋅ e = r(<br />

nT ) cos( 2πf<br />

0nT<br />

) − j ⋅ r(<br />

nT ) sin( 2πf<br />

0nT<br />

) = I(<br />

nT ) + jQ(<br />

nT )<br />

I( nT ) = r(<br />

nT ) cos( 2πf<br />

0nT ) (12)<br />

Q( nT ) = −r(<br />

nT ) sin( 2πf<br />

0nT ) (13)<br />

Fig.7 I (nT), Q (nT) and their complex spectrum<br />

because the data rate of both I (nT) and Q (nT) are relatively high compared with their<br />

bandwidth, therefor it is necessary to further reduce the data rate to an extent that succeeded Dsp<br />

units could handle in real time. Herein that is implemented with a multistage filtering structure<br />

consisting of a CIC and a FIR filter. The CIC filter is put at the first stage, and constructed with<br />

two cascaded fourth order CIC filters, it reduces data rate of I (nT) and Q (nT) from 64Msps to<br />

16Msps with a decimate factor of 4.<br />

The FIR filter is put at the second stage and it has two functions, one is to counter the<br />

passband droop generated by the CIC filter and the other is to shape the required frequency<br />

response, the passband is from 0 to 1.5MHz and the stopband is from 2MHz to 8MHz with<br />

rejection of –60dB. It further decimates two times of outputs of the CIC filter, so the output rate of<br />

I’ (nT1) and Q’ (nT1) is 8Msps, T1 is the sample period of 8Msps. fig 8 plots the impulse and<br />

frequency responses of these two filters respectively. I’ (nT1) and Q’ (nT1) and their complex<br />

spectrum is plotted in fig.9.<br />

Fig.8 The impulse and frequency response of the CIC and FIR filter<br />

5<br />

(11)

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!