24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

UM-154 5 - Verilog simulation<br />

Verilog-XL compatible compiler directives<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong><br />

The following compiler directives are provided for compatibility with Verilog-XL.<br />

‘default_decay_time <br />

This directive specifies the default decay time to be used in trireg net declarations that do<br />

not explicitly declare a decay time. The decay time can be expressed as a real or integer<br />

number, or as "infinite" to specify that the charge never decays.<br />

`delay_mode_distributed<br />

This directive disables path delays in favor of distributed delays. See "Delay modes" (UM-<br />

144) for details.<br />

`delay_mode_path<br />

This directive sets distributed delays to zero in favor of path delays. See "Delay modes"<br />

(UM-144) for details.<br />

`delay_mode_unit<br />

This directive sets path delays to zero <strong>and</strong> non-zero distributed delays to one time unit.<br />

See "Delay modes" (UM-144) for details.<br />

`delay_mode_zero<br />

This directive sets path delays <strong>and</strong> distributed delays to zero. See "Delay modes" (UM-<br />

144) for details.<br />

`uselib<br />

This directive is an alternative to the -v, -y, <strong>and</strong> +libext source library compiler<br />

arguments. See "Verilog-XL `uselib compiler directive" (UM-120) for details.<br />

The following Verilog-XL compiler directives are silently ignored by <strong>ModelSim</strong> Verilog.<br />

Many of these directives are irrelevant to <strong>ModelSim</strong> Verilog, but may appear in code being<br />

ported from Verilog-XL.<br />

`accelerate<br />

`autoexp<strong>and</strong>_vectornets<br />

`disable_portfaults<br />

`enable_portfaults<br />

`exp<strong>and</strong>_vectornets<br />

`noaccelerate<br />

`noexp<strong>and</strong>_vectornets<br />

`noremove_gatenames<br />

`noremove_netnames<br />

`nosuppress_faults<br />

`remove_gatenames<br />

`remove_netnames<br />

`suppress_faults<br />

The following Verilog-XL compiler directives produce warning messages in <strong>ModelSim</strong><br />

Verilog. These are not implemented in <strong>ModelSim</strong> Verilog, <strong>and</strong> any code containing these<br />

directives may behave differently in <strong>ModelSim</strong> Verilog than in Verilog-XL.<br />

`default_trireg_strength<br />

`signed<br />

`unsigned

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!