24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Related tasks<br />

Limitations<br />

Example<br />

Name Type Description<br />

$init_signal_driver (UM-429), $signal_force (UM-434), $signal_release (UM-436)<br />

When mirroring the value of a VHDL signal onto a Verilog register, the VHDL signal<br />

must be of type bit, bit_vector, std_logic, or std_logic_vector.<br />

Verilog memories (arrays of registers) are not supported.<br />

module top;<br />

...<br />

reg top_sig1;<br />

reg enable_reg;<br />

...<br />

initial<br />

begin<br />

$init_signal_spy(".top.uut.inst1.sig1",".top.top_sig1",1,1);<br />

end<br />

always @ (posedge enable_reg)<br />

begin<br />

$enable_signal_spy(".top.uut.inst1.sig1",".top.top_sig1",0);<br />

end<br />

always @ (negedge enable_reg)<br />

begin<br />

$disable_signal_spy(".top.uut.inst1.sig1",".top.top_sig1",0);<br />

end<br />

...<br />

endmodule<br />

In this example, the value of .top.uut.inst1.sig1 is mirrored onto .top.top_sig1.<br />

$init_signal_spy UM-433<br />

dest_object string Required. A full hierarchical path (or relative<br />

path with reference to the calling block) to a<br />

Verilog register or VHDL signal. Use the path<br />

separator to which your simulation is set (i.e.,<br />

"/" or "."). A full hierarchical path must begin<br />

with a "/" or ".". The path must be contained<br />

within double quotes.<br />

verbose integer Optional. Possible values are 0 or 1. Specifies<br />

whether you want a message reported in the<br />

Transcript stating that the src_object’s value<br />

is mirrored onto the dest_object. Default is 0,<br />

no message.<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!