24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Supported types<br />

<strong>ModelSim</strong> code coverage supports only certain data types.<br />

VHDL<br />

Introduction UM-335<br />

Supported types are scalar std_ulogic/std_logic. The tool doesn’t currently support bit or<br />

boolean.<br />

Vector <strong>and</strong> integer <strong>and</strong> real are not supported directly. However, subexpressions that<br />

involve an unsupported type <strong>and</strong> a relational operator <strong>and</strong> produce a boolean result are<br />

supported. These types of subexpressions are treated as an external expression that is first<br />

evaluated <strong>and</strong> then used as a boolean input to the full condition. The subexpression can look<br />

like:<br />

(var const)<br />

or<br />

(var1 var2)<br />

where "var," "var1," <strong>and</strong> "var2" may be of any type; "" is a relational operator (e.g.,<br />

, >=); <strong>and</strong> "const" is a constant of the appropriate type.<br />

Verilog<br />

Supported types are net <strong>and</strong> one-bit register, but subexpressions of the form:<br />

(var1 var2)<br />

are supported, where the variables may be multiple-bit registers or integer or real.<br />

SystemC<br />

Code coverage does not work on SystemC design units.<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!