24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Using cover directives<br />

Using assertions in <strong>ModelSim</strong> UM-363<br />

There is no support for post-simulation run of assertions (i.e., users cannot run the<br />

assertion engine in post-simulation mode). The Assertions pane is not active in<br />

post-simulation mode either.<br />

Vprop <strong>and</strong> vmode in the PSL modeling layer are not supported.<br />

<strong>ModelSim</strong> supports PSL functional coverage via the cover directive. See Chapter 15 -<br />

Functional coverage with PSL <strong>and</strong> <strong>ModelSim</strong> for details.<br />

Processing assume directives in simulation<br />

Designers use assume directives to constrain static verification. Because they are intended<br />

for formal tools, assume directives have no meaning in simulation. However, <strong>ModelSim</strong> by<br />

default will treat assume directives as if they are assert directives <strong>and</strong> simulate them.<br />

You can configure how <strong>ModelSim</strong> processes assume directives using the -assume <strong>and</strong><br />

-noassume arguments to vsim or the SimulateAssumeDirectives (UM-533) variable in the<br />

modelsim.ini file.<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!