24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

H - Logic Modeling hardware models<br />

Appendix contents<br />

VHDL hardware model interface . . . . . . . . . . UM-628<br />

Creating foreign architectures with hm_entity . . . . . UM-629<br />

Vector ports . . . . . . . . . . . . . . UM-631<br />

Hardware model comm<strong>and</strong>s . . . . . . . . . . UM-632<br />

Logic Modeling hardware models can be used with <strong>ModelSim</strong> VHDL <strong>and</strong> Verilog. A<br />

hardware model allows simulation of a device using the actual silicon installed as a<br />

hardware model in one of Logic Modeling's hardware modeling systems. The hardware<br />

modeling system is a network resource with a procedural interface that is accessed by the<br />

simulator. This appendix describes how to use Logic Modeling hardware models with<br />

<strong>ModelSim</strong>.<br />

Note: Please refer to Logic Modeling documentation from Synopsys for details on using<br />

the hardware modeler. This appendix only describes the specifics of using hardware<br />

models with <strong>ModelSim</strong> <strong>SE</strong>.<br />

UM-627<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!