24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

);<br />

END ringbuf;<br />

ARCHITECTURE RTL OF ringbuf IS<br />

BEGIN<br />

print_param: PROCESS<br />

variable line_out: Line;<br />

BEGIN<br />

write(line_out, string'("int_param="), left);<br />

write(line_out, int_param);<br />

writeline(OUTPUT, line_out);<br />

write(line_out, string'("real_param="), left);<br />

write(line_out, real_param);<br />

writeline(OUTPUT, line_out);<br />

write(line_out, string'("str_param="), left);<br />

write(line_out, str_param);<br />

writeline(OUTPUT, line_out);<br />

write(line_out, string'("bool_param="), left);<br />

write(line_out, bool_param);<br />

writeline(OUTPUT, line_out);<br />

write(line_out, string'("char_param="), left);<br />

write(line_out, char_param);<br />

writeline(OUTPUT, line_out);<br />

write(line_out, string'("bit_param="), left);<br />

write(line_out, bit_param);<br />

writeline(OUTPUT, line_out);<br />

write(line_out, string'("bv_param="), left);<br />

write(line_out, bv_param);<br />

writeline(OUTPUT, line_out);<br />

WAIT FOR 20 NS;<br />

END PROCESS;<br />

END RTL;<br />

To run the parameterized design, use the following comm<strong>and</strong>s.<br />

SystemC: instantiating VHDL UM-221<br />

vsim1> vlib work<br />

vsim2> vcom ringbuf.vhd<br />

vsim3> scgenmod ringbuf > ringbuf.h //creates the sc_foreign_module<br />

including generic mapping info<br />

vsim4> sccom test_ringbuf.cpp<br />

vsim5> sccom -link<br />

vsim6> vsim -c test_ringbuf<br />

The simulation returns the following:<br />

# int_param=4<br />

# real_param=2.600000e+00<br />

# str_param=Hello<br />

# bool_param=FAL<strong>SE</strong><br />

# char_param=Y<br />

# bit_param=0<br />

# bv_param=010<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!