24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Using STD_INPUT <strong>and</strong> STD_OUTPUT within <strong>ModelSim</strong><br />

The st<strong>and</strong>ard VHDL’87 TextIO package contains the following file declarations:<br />

file input: TEXT is in "STD_INPUT";<br />

file output: TEXT is out "STD_OUTPUT";<br />

Updated versions of the TextIO package contain these file declarations:<br />

file input: TEXT open read_mode is "STD_INPUT";<br />

file output: TEXT open write_mode is "STD_OUTPUT";<br />

Using the TextIO package UM-89<br />

STD_INPUT is a file_logical_name that refers to characters that are entered interactively<br />

from the keyboard, <strong>and</strong> STD_OUTPUT refers to text that is displayed on the screen.<br />

In <strong>ModelSim</strong>, reading from the STD_INPUT file allows you to enter text into the current<br />

buffer from a prompt in the Transcript pane. The lines written to the STD_OUTPUT file<br />

appear in the Transcript.<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!